Warning: mysqli_fetch_assoc() expects parameter 1 to be mysqli_result, boolean given in /www/wwwroot/qikantianxia.com/e/action/CCYip.php on line 71
常用化工原料英文缩写(通用11篇)

常用化工原料英文缩写

2024-09-29

常用化工原料英文缩写(通用11篇)

常用化工原料英文缩写 篇1

常用化工产品英文缩写(A)

起始字母为A

英文缩写 A/MMA AA AAS ABFN ABN ABPS ABR ABS ABVN AC ACB ACNU ACP ACR ACS ACTA ADC ADCA AE AES AI AK AM AN 全称

丙烯腈/甲基丙烯酸甲酯共聚物

丙烯酸

丙烯酸酯-丙烯酸酯-苯乙烯共聚物

偶氮(二)甲酰胺

偶氮(二)异丁腈

壬基苯氧基丙烷磺酸钠

聚丙烯酸酯

苯乙烯-丙烯腈-丁二烯共聚物

偶氮(二)异庚腈

偶氮(二)碳酰胺 2-氨基-4-氯苯胺

嘧啶亚硝脲

三氧化铝

丙烯酸脂共聚物

苯乙烯-丙烯腈-氯化聚乙烯共聚物

促皮质素

偶氮甲酰胺

偶氮二甲酰胺

脂肪醇聚氧乙烯醚

脂肪醇聚氧乙烯醚硫酸酯钠盐

酰胺-酰亚胺(聚合物)醇酸树脂

丙烯酰胺

丙烯腈 AN-AE ANM AP APP AR AS ASA ATT AU AW BAA

丙烯腈-丙烯酸酯共聚物

丙烯腈-丙烯酸酯合成橡胶

多羟基胺基聚醚

无规聚丙烯

丙烯酸酯橡胶

丙烯腈-苯乙烯共聚物

丙烯腈-苯乙烯-丙烯酸酯共聚物

靛蓝

聚酯型聚氨酯橡胶

6-乙氧基-2,2,4-三甲基-1,2-二氢化喹啉 正丁醛苯胺缩合物

常用化工产品英文缩写(B)

起始字母为B 英文缩写

全称

BAC 碱式氯化铝

BACN 新型阻燃剂

BAD

双水杨酸双酚A酯

BAL

2,3-巯(基)丙醇

BBP

邻苯二甲酸丁苄酯

BBS

N-叔丁基-乙-苯并噻唑次磺酰胺

BC 叶酸

BCD β-环糊精

BCG 苯顺二醇

BCNU 氯化亚硝脲

BD 丁二烯

BE

丙烯酸乳胶外墙涂料

BEE 苯偶姻乙醚

BFRM

硼纤维增强塑料

BG 丁二醇

BGE 反应性稀释剂

BHA

特丁基-4羟基茴香醚

BHT

二丁基羟基甲苯

BL 丁内酯

BLE

丙酮-二苯胺高温缩合物

BLP

粉末涂料流平剂

BMA

甲基丙烯酸丁酯

BMC 团状模塑料

BMU

氨基树脂皮革鞣剂

BN 氮化硼

BNE 新型环氧树脂

BNS

β-萘磺酸甲醛低缩合物

BOA 己二酸辛苄酯

BOP

邻苯二甲酰丁辛酯

BOPP 双轴向聚丙烯

BP 苯甲醇

BPA 双酚A

BPBG

邻苯二甲酸丁(乙醇酸乙酯)酯

BPF 双酚F

BPMC

2-仲丁基苯基-N-甲基氨基酸酯

BPO 过氧化苯甲酰

BPP

过氧化特戊酸特丁酯

BPPD

过氧化二碳酸二苯氧化酯

BPS

4,4’-硫代双(6-特丁基-3-甲基苯酚)

BPTP

聚对苯二甲酸丁二醇酯

BR 丁二烯橡胶

BRN 青红光硫化黑

BROC

二溴(代)甲酚环氧丙基醚

BS

丁二烯-苯乙烯共聚物

BS-1S 新型密封胶

BSH 苯磺酰肼

BSU

N,N’-双(三甲基硅烷)脲

BT

聚丁烯-1热塑性塑料

BTA 苯并三唑

BTX

苯-甲苯-二甲苯混合物

英文缩写 CA CAB CAN CAP CBA CDP CF CFE CFM CFRP CLF CMC CMCNa CMD CMS CN

BX 渗透剂

BXA

己二酸二丁基二甘酯

BZ

二正丁基二硫代氨基甲酸锌全称 醋酸纤维素 醋酸-丁酸纤维素 醋酸-硝酸纤维素 醋酸-丙酸纤维素 化学发泡剂 磷酸甲酚二苯酯 甲醛-甲酚树脂,碳纤维 氯氟乙烯 碳纤维密封填料 碳纤维增强塑料 含氯纤维 羧甲基纤维素 羧甲基纤维素钠 代尼尔纤维 羧甲基淀粉 硝酸纤维素

CNA COPP CP CPE CPL CPPG CPVC CR CS CSPE CTA CTEE CUP CV α-蒎烯树脂

共聚聚丙烯

丙酸纤维素

氯化聚乙烯

己内酰胺

聚氯醚

氯化聚氯乙烯(过氯乙烯)氯丁橡胶

酪蛋白塑料(酪素塑料)氯横化聚乙烯

三醋酸纤维素

三氟氯乙烯(氯化三氟乙烯)铜氨纤维

粘胶纤维

英文缩写

全称

DAF

富马酸二烯丙酯

DAIP

间苯二甲酸二烯丙酯

DAM

马来酸二烯丙酯

DAP

间苯二甲酸二烯丙酯

DATBP

四溴邻苯二甲酸二烯丙酯

DBA 己二酸二丁酯

DBEP

邻苯二甲酸二丁氧乙酯

DBP

邻苯二甲酸二丁酯

DBR

二苯甲酰间苯二酚

DBS 癸二酸二癸酯

DCCA 二氯异氰脲酸

DCCK

二氯异氰脲酸钾

DCCNa 二氯异氰脲酸钠

DCHP

邻苯二甲酸二环乙酯

DCPD

过氧化二碳酸二环乙酯

DDA 己二酸二癸酯

DDP

邻苯二甲酸二癸酯

DEAE

二乙胺基乙基纤维素

DEP

邻苯二甲酸二乙酯

DETA 二乙撑三胺

DFA 薄膜胶粘剂

DHA 己二酸二己酯

DHP

邻苯二甲酸二己酯

DHS 癸二酸二己酯

DIBA

己二酸二异丁酯

DIDA

己二酸二异癸酯

DIDG

戊二酸二异癸酯

DIDP

邻苯二甲酸二异癸酯

DINA

己二酸二异壬酯

DINP

邻苯二甲酸二异壬酯

DINZ

壬二酸二异壬酯

DIOA 己酸二异辛酯

DIOP

邻苯二甲酸二异辛酯

DIOS

癸二酸二异辛酯

DIOZ

壬二酸二异辛酯

DIPA 二异丙醇胺

DMA 二甲胺

DMC 碳酸二甲酯

DMEP

邻苯二甲酸二甲氧基乙酯

DMF 二甲基甲酰胺

DMP

邻苯二甲酸二甲酯

DMS 癸二酸二甲酯

DMSO 二甲基亚砜

DMT

对苯二甲酸二甲酯

DNA 己二酸二壬酯

DNP

邻苯二甲酸二壬酯

DNS 癸二酸壬酯

DOP

邻苯二甲酸二辛酯

DOPP

对苯二甲酸二辛酯

DOS 癸二酸二辛酯

DOTP

对苯二甲酸二异辛酯

DOZ 壬二酸二辛酯

DPA 二苯胺

DVB 二乙烯基苯 E/EA

乙烯/丙烯酸乙酯共聚物

E/P

乙烯/丙烯共聚物

E/P/D

乙烯/丙烯/二烯三元共聚物

E/TEE

乙烯/四氟乙烯共聚物

E/VAC

乙烯/醋酸乙烯酯共聚物

E/VAL

乙烯/乙烯醇共聚物

EAA

乙烯-丙烯酸共聚物

EAK 乙基戊丙酮

EBM 挤出吹塑模塑

EC 乙基纤维素

ECB

乙烯共聚物和沥青的共混物

ECD

环氧氯丙烷橡胶

ECTEE

聚(乙烯-三氟氯乙烯)

ED-3 环氧酯

EDC 二氯乙烷

EDTA 乙二胺四醋酸

EEA

乙烯-醋酸丙烯共聚物

EG 乙二醇

2-EH :异辛醇

EO 环氧乙烷

EOT 聚乙烯硫醚

EP 环氧树脂

EPI 环氧氯丙烷

EPM

乙烯-丙烯共聚物

EPOR 三元乙丙橡胶

EPR 乙丙橡胶

EPS

可发性聚苯乙烯

EPSAN

乙烯-丙烯-苯乙烯-丙烯腈共聚物

EPT

乙烯丙烯三元共聚物

EPVC

乳液法聚氯乙烯

EU

聚醚型聚氨酯

EVA

乙烯-醋酸乙烯共聚物

EVE 乙烯基乙基醚

EXP

醋酸乙烯-乙烯-丙烯酸酯三元共聚乳液

起始字母为F

英文缩写

全称

F/VAL

乙烯/乙烯醇共聚物

F-23

四氟乙烯-偏氯乙烯共聚物

F-30

三氟氯乙烯-乙烯共聚物

F-40

四氟氯乙烯-乙烯共聚物

FDY 丙纶全牵伸丝

FEP

全氟(乙烯-丙烯)共聚物

FNG 耐水硅胶

FPM 氟橡胶

FRA

纤维增强丙烯酸酯

FRC 阻燃粘胶纤维

FRP 纤维增强塑料

FRPA-101

玻璃纤维增强聚癸二酸癸胺(玻璃纤维增强尼龙1010树脂)

FRPA-610

玻璃纤维增强聚癸二酰乙二胺(玻璃纤维增强尼龙610树脂)

FWA 荧光增白剂

GF 玻璃纤维

GFRP

玻璃纤维增强塑料

GFRTP

玻璃纤维增强热塑性塑料促进剂

GOF 石英光纤

GPS

通用聚苯乙烯

GR-1 异丁橡胶

GR-N 丁腈橡胶

GR-S 丁苯橡胶

GRTP

玻璃纤维增强热塑性塑料

GUV

紫外光固化硅橡胶涂料

GX 邻二甲苯

GY 厌氧胶

起始字母为H

英文缩写

全称

H 乌洛托品

HDI

六甲撑二异氰酸酯

HDPE

低压聚乙烯(高密度)

HEDP

1-羟基乙叉-1,1-二膦酸

HFP 六氟丙烯

HIPS

高抗冲聚苯乙烯

HLA

天然聚合物透明质胶

HLD 树脂性氯丁胶

HM

高甲氧基果胶

HMC 高强度模塑料

HMF 非干性密封胶

HOPP 均聚聚丙烯

HPC 羟丙基纤维素

HPMC

羟丙基甲基纤维素

HPMCP

羟丙基甲基纤维素邻苯二甲酸酯

HPT

六甲基磷酸三酰胺

HS 六苯乙烯

HTPS

高冲击聚苯乙烯

起始字母为I

英文缩写

全称

IEN

互贯网络弹性体

IHPN

互贯网络均聚物

IIR

异丁烯-异戊二烯橡胶

IO 离子聚合物

IPA 异丙醇

IPN

互贯网络聚合物

IR

异戊二烯橡胶

IVE

异丁基乙烯基醚

起始字母为J

英文缩写

全称

JSF

聚乙烯醇缩醛胶

JZ 塑胶粘合剂

起始字母为K

英文缩写

全称

KSG 空分硅胶 LAS

十二烷基苯磺酸钠

LCM 液态固化剂

LDJ 低毒胶粘剂

LDN 氯丁胶粘剂

LDPE

高压聚乙烯(低密度)

LDR 氯丁橡胶

LF 脲

LGP 液化石油气

LHPC

低替代度羟丙基纤维素

LIM

液体侵渍模塑

LIPN

乳胶互贯网络聚合物

LJ

接体型氯丁橡胶

LLDPE

线性低密度聚乙烯

LM

低甲氧基果胶

LMG 液态甲烷气

LMWPE 低分子量聚乙稀

LN 液态氮

LRM 液态反应模塑

LRMR

增强液体反应模塑

LSR

羧基氯丁乳胶

起始字母为M

英文缩写

全称

MA 丙烯酸甲酯

MAA 甲基丙烯酸

MABS

甲基丙烯酸甲酯-丙烯腈-丁二烯-苯乙烯共聚物

MAL 甲基丙烯醛

MBS

甲基丙烯酸甲酯-丁二烯-苯乙烯共聚物

MBTE 甲基叔丁基醚

MC 甲基纤维素

MCA

三聚氰胺氰脲酸盐

MCPA-6

改性聚己内酰胺(铸型尼龙6)

MCR

改性氯丁冷粘鞋用胶

MDI

3,3’-二甲基-4,4’-二氨基二苯甲烷

MDI

二苯甲烷二异氰酸酯(甲撑二苯基二异氰酸酯)

MDPE

中压聚乙烯(高密度)

MEK 丁酮(甲乙酮)

MEKP 过氧化甲乙酮

MES

脂肪酸甲酯磺酸盐

MF

三聚氰胺-甲醛树脂

M-HIPS

改性高冲聚苯乙烯

MIBK 甲基异丁基酮

MMA

甲基丙烯酸甲酯

MMF 甲基甲酰胺

MNA 甲基丙烯腈

MPEG 乙醇酸乙酯

MPF

三聚氨胺-酚醛树脂

MPK 甲基丙基甲酮

M-PP 改性聚丙烯

MPPO 改性聚苯醚

MPS 改性聚苯乙烯

MS

苯乙烯-甲基丙烯酸甲酯树脂

MSO 石油醚

MTBE 甲基叔丁基醚

MTT

氯丁胶新型交联剂

MWR 旋转模塑

MXD-10/6 醇溶三元共聚尼龙

MXDP 间苯二甲基二胺

NBR 丁腈橡胶

NDI

二异氰酸萘酯

NDOP

邻苯二甲酸正癸辛酯

NHDP

邻苯二甲酸己正癸酯

NHTM

偏苯三酸正己酯

NINS

癸二酸二异辛酯

NLS 正硬脂酸铅

NMP

N-甲基吡咯烷酮

NODA

己二酸正辛正癸酯

NODP

邻苯二甲酸正辛正癸酯

NPE

壬基酚聚氧乙烯醚

NR 天然橡胶

起始字母为O

英文缩写

全称

OBP

邻苯二甲酸辛苄酯

ODA

己二酸异辛癸酯

ODPP 磷酸辛二苯酯

OIDD

邻苯二甲酸正辛异癸酯

OPP

定向聚丙烯(薄膜)

OPS

定向聚苯乙烯(薄膜)

OPVC 正向聚氯乙烯

OT 气熔胶 常用化工产品英文缩写(P)起始字母为P 英文缩写 全称 PA 聚酰胺(尼龙)PA-1010 聚癸二酸癸二胺(尼龙1010)PA-11 聚十一酰胺(尼龙11)PA-12 聚十二酰胺(尼龙12)PA-6 聚己内酰胺(尼龙6)PA-610 聚癸二酰乙二胺(尼龙610)PA-612 聚十二烷二酰乙二胺(尼龙612)PA-66 聚己二酸己二胺(尼龙66)PA-8 聚辛酰胺(尼龙8)PA-9 聚9-氨基壬酸(尼龙9)PAA 聚丙烯酸 PAAS 水质稳定剂 PABM 聚氨基双马来酰亚胺 PAC 聚氯化铝 PAEK 聚芳基醚酮 PAI 聚酰胺-酰亚胺 PAM 聚丙烯酰胺 PAMBA 抗血纤溶芳酸 PAMS 聚α-甲基苯乙烯 PAN 聚丙烯腈 PAP 对氨基苯酚 PAPA 聚壬二酐 PAPI 多亚甲基多苯基异氰酸酯 PAR 聚芳酰胺 PAR 聚芳酯(双酚A型)PAS 聚芳砜(聚芳基硫醚)PB 聚丁二烯-[1,3] PBAN 聚(丁二烯-丙烯腈)PBI 聚苯并咪唑 PBMA 聚甲基丙烯酸正丁酯 PBN 聚萘二酸丁醇酯 PBR 丙烯-丁二烯橡胶 PBS 聚(丁二烯-苯乙烯)PBS 聚(丁二烯-苯乙烯)PBT 聚对苯二甲酸丁二酯 PC 聚碳酸酯 PC/ABS 聚碳酸酯/ABS树脂共混合金 PC/PBT 聚碳酸酯/聚对苯二甲酸丁二醇酯弹性体共混合金 PCD 聚羰二酰亚胺 PCDT 聚(1,4-环己烯二亚甲基对苯二甲酸酯)PCE 四氯乙烯 PCMX 对氯间二甲酚 PCT 聚对苯二甲酸环己烷对二甲醇酯 PCT 聚己内酰胺 PCTEE 聚三氟氯乙烯 PD 二羟基聚醚 PDAIP 聚间苯二甲酸二烯丙酯 PDAP 聚对苯二甲酸二烯丙酯 PDMS 聚二甲基硅氧烷

PE

PEA 聚丙烯酸酯

PEAM

苯乙烯型聚乙烯均相离子交换膜

PEC 氯化聚乙烯

PECM

苯乙烯型聚乙烯均相阳离子交换膜

PEE 聚醚酯纤维

PEEK 聚醚醚酮

PEG 聚乙二醇

PEHA 五乙撑六胺

PEN

聚萘二酸乙二醇酯

PEO 聚环氧乙烷

PEOK 聚氧化乙烯

PEP

对-乙基苯酚聚全氟乙丙烯薄膜

PES 聚苯醚砜

PET

聚对苯二甲酸乙二酯

PETE 涤纶长丝

PETP

聚对苯二甲酸乙二醇酯

PF 酚醛树脂

PF/PA

尼龙改性酚醛压塑粉

PF/PVC

聚氯乙烯改性酚醛压塑粉

PFA

全氟烷氧基树脂

PFG 聚乙二醇

PFS 聚合硫酸铁

PG 丙二醇

PGEEA

乙二醇(甲)乙醚醋酸酯

PGL 环氧灌封料

PH 六羟基聚醚

PHEMA

聚(甲基丙烯酸-2-羟乙酯)

PHP

水解聚丙烯酸胺

PI

聚异戊二稀

PIB 聚异丁烯

PIBO 聚氧化异丁烯

PIC

聚异三聚氰酸酯

PIEE 聚四氟乙烯

PIR

聚三聚氰酸酯

PL 丙烯

PLD 防老剂4030

PLME

1:1型十二(烷)酸单异丙醇酰胺

PMA 聚丙烯酸甲酯

丙二醇甲醚醋酸酯

PMAC 聚甲氧基缩醛

PMAN 聚甲基丙烯腈

PMCA

聚α-氧化丙烯酸甲酯

PMDETA

五甲基二乙烯基三胺

PMI

聚甲基丙烯酰亚胺

PMMA

聚甲基丙烯酸甲酯(有机玻璃)

PMMI

聚均苯四甲酰亚胺

PMP

聚4-甲基戊烯-1

PNT 对硝基甲苯

PO 环氧乙烷

POA

聚己内酰胺纤维

POF 有机光纤

POM 聚甲醛

POP 对辛基苯酚

POR 环氧丙烷橡胶

PP 聚丙烯

PPA

聚己二酸丙二醇酯

PPB

溴代十五烷基吡啶

PPC 氯化聚丙烯

PPD 防老剂4020

PPG 聚醚

PPO

聚苯醚(聚2,6-二甲基苯醚)

PPOX 聚环氧丙烷

PPS 聚苯硫醚

PPSU 聚苯砜(聚芳碱)

PR 聚酯

PROT 蛋白质纤维

PS 聚苯乙烯

PSAN

聚苯乙烯-丙烯腈共聚物

PSB

聚苯乙烯-丁二烯共聚物

PSF(PSU)聚砜

PSI

聚甲基苯基硅氧烷

PST

聚苯乙烯纤维

PT 甲苯

PTA

精对苯二甲酸

PTBP 对特丁基苯酚

PTEE 聚四氟乙烯

PTMEG 聚醚二醇

PTMG

聚四氢呋喃醚二醇

PTP

聚对苯二甲酸酯

PTX

苯(甲苯、二甲苯)

PU

聚氨酯(聚氨基甲酸酯)

PVA 聚乙烯醇

PVAC

聚醋酸乙烯乳液

PVAL

乙烯醇系纤维

PVB

聚乙烯醇缩丁醛

PVC 聚氯乙烯

PVCA

聚氯乙烯醋酸酯

PVCC 氯化聚氯乙烯

PVDC 聚偏二氯乙烯

PVDF 聚偏二氟乙烯

PVE 聚乙烯基乙醚

PVF 聚氟乙烯

PVFM

聚乙烯醇缩甲醛

PVI

聚乙烯异丁醚

PVK 聚乙烯基咔唑

PVM 聚烯基甲醚

PVP

聚乙烯基吡咯烷酮

PX 二甲苯

PXL 对二甲苯

PZ

二甲基二硫代氨基甲酸锌 RE 橡胶粘合剂

RF

间苯二酚-甲醛树脂

RFL

间苯二酚-甲醛乳胶

RP 增强塑料

RP/C 增强复合材料

RX 橡胶软化剂

起始字母为S

英文缩写

全称

S/MS

苯乙烯-α-甲基苯乙烯共聚物

SAN

苯乙烯-丙烯腈共聚物

SAS 仲烷基磺酸钠

SB

苯乙烯-丁二烯共聚物

SBR 丁苯橡胶

SBS

苯乙烯-丁二烯-苯乙烯嵌段共聚物

SC

硅橡胶气调织物膜

SDDC

N,N-二甲基硫代氨基甲酸钠

SE

磺乙基纤维素

SGA 丙烯酸酯胶

SI 聚硅氧烷

SIS

苯乙烯-异戊二烯-苯乙烯嵌段共聚物

SIS/SEBS

苯乙烯-乙烯-丁二烯-苯乙烯共聚物

SM 苯乙烯

SMA

苯乙烯-顺丁烯二酸酐共聚物

SPP :间规聚苯乙烯

SPVC

悬浮法聚氯乙烯

SR 合成橡胶

ST 矿物纤维

VAC 醋酸乙烯酯

VAE

乙烯-醋酸乙烯共聚物

VAM 醋酸乙烯

VAMA

醋酸乙烯-顺丁烯二酐共聚物

VC 氯乙烯

VC/CDC

氯乙烯/偏二氯乙烯共聚物

VC/E

氯乙烯/乙烯共聚物

VC/E/MA

氯乙烯/乙烯/丙烯酸甲酯共聚物

VC/E/VAC

氯乙烯/乙烯/醋酸乙烯酯共聚物

VC/MA

氯乙烯/丙烯酸甲酯共聚物

VC/MMA

氯乙烯/甲基丙烯酸甲酯共聚物

VC/OA

氯乙烯/丙烯酸辛酯共聚物

VC/VAC

氯乙烯/醋酸乙烯酯共聚物

VCM 氯乙烯(单体)

VCP

氯乙烯-丙烯共聚物

VCS

丙烯腈-氯化聚乙烯-苯乙烯共聚物

VDC 偏二氯乙烯

VPC 硫化聚乙烯

VTPS

特种橡胶偶联剂

起始字母为W

英文缩写

全称

WF

新型橡塑填料

WP 织物涂层胶

WRS

聚苯乙烯球形细粒

起始字母为X

英文缩写

全称

XF

二甲苯-甲醛树脂

XMC 复合材料

起始字母为Y

英文缩写

全称

YH 改性氯丁胶

YM

聚丙烯酸酯压敏胶乳

YWG

液相色谱无定型微粒硅胶

起始字母为Z

英文缩写

全称

ZE 玉米纤维

ZH

溶剂型氯化天然橡胶胶粘剂

ZN

粉状脲醛树脂胶

发短信常用的英文缩写 篇2

我们常用首字母缩写,此外,单独的字母也可能取代听起来类似的单词。看看这些例子:

OIC - Oh I see

BFN - Bye for now

LOL - Laughing out loud

ASAP - As soon as possible

BTW - By the way...

JK - Just kidding

TTYL - Talk to you later

缩写时也可去掉不必要的部分同时保持意思明确。元音常被去除,但仍能辨别出单词一般发音。数字8有时代表eight的音,因此later 变成了l8r。数字4也常用于替代for ,就像2取代to。看这些SMS的缩写:

Plz - Please

Thx - Thanks

Cya - See you

L8r - Later

K - OK

U - You

R - Are

B4 - Before

2morro - Tomorrow

常用病名英文缩写 篇3

猪瘟:HC或CSF

伪狂犬:PR 细小病毒:PP 兰耳病:PRRS 传染性胃肠炎:TGE 轮状病毒:RV 大肠杆菌:E.coli 增生性肠炎:PPE 弓形体:TP

副嗜血杆菌:HPS

多杀性巴氏杆菌:PM

猪流感:SI 布氏杆菌:BB 附红细胞体:EPE 链球菌:SS或Strep 猪痘:WP

乙脑:JE

圆环病毒II:PCV-2 流行性腹泻:PED 水肿病:ED 沙门氏菌:SALM 黄白痢:YS,WS

猪丹毒:ERY 传染性胸膜肺炎:APP

产毒性巴氏杆菌(猪进行性萎缩性鼻炎):衣原体:CHLA 猪萎缩性鼻炎:AR 喘气病:MH或MHS或MPS 猪痢疾:SD

常用检测试验英文缩写 篇4

ELISA酶联免疫吸咐试验

SN血清中和试验

VN病毒中和试验

LA乳胶凝集试验

FAT荧光抗体试验

SAT血清凝集试验

IHA间接血凝试验

AGID琼脂凝胶免疫扩散试验 CF补体结合实验

RT-PCR反转录-聚合酶链反应

HA血凝试验

MAT显微凝集试验

NPLA中和过氧化物酶标记试验 IFA间接荧光抗体试验

VIA-AGID相关抗原琼脂凝胶免疫扩散试验 FAVN荧光抗体病毒中和试验

常用糖尿病术语英文缩写 篇5

IDF:国际糖尿病联盟

ADA:美国糖尿病学会

LADA:**晚发型1型糖尿病 T2DM:2型糖尿病

GDM:妊娠糖尿病

GLU:血糖

FPG:空腹血糖

2hPBG:餐后2小时血糖 IGT:糖耐量损害

IFG:空腹血糖受损

IGR:糖调节受损

OGTT:口服葡萄糖耐量试验 TP:总蛋白

HbAlc:糖化血红蛋白

HDL:高密度脂蛋白

LDL:低密度脂蛋白

IDL:中间密度脂蛋白

VLDL:极低密度脂蛋白 CM:乳糜微粒

BMI:体重指数

Cr:肌酐

Ccr:血肌酐

BUN:血尿素氮

ALB:尿白蛋白

IAA:抗胰岛素抗体

ICA:抗胰岛细胞抗体

GAD-Ab:抗谷氨酸脱羧酶抗体 CHO:糖类食物

TG:甘油三酯

CHO:胆固醇

TC:总胆固醇

UA:血尿酸

ALT、GPT:谷丙转氨酶 TBIL:总胆红素

ALP、AKP:碱性磷酸酶 INS:胰岛素

企业管理常用缩写词中英文对照表 篇6

ACSI America Customer Satisfaction Indices 美国顾客满意度指数 AM Agile Manufacturing 敏捷制造

ANSI America National Standard Institution 美国标准协会 APEC Asia-pacific Economic Cooperation 亚太经济合作组织 APQP Advanced Product Quality Planning 事先产品品质计划 AQL Acceptable Quality Level 品质允收水准 BB Black Belt 黑带

BMK Benchmarking 同行业最高(标杆)BOM Bill of Material 物料清单

BPR Business Processes Reengineering 业务流程再造 BSC Balanced Score Card平衡记分卡

BSI British Standard Institution 英国标准协会 BVQI(法语缩写)法国船级社

CAP Corrective Action Plan 纠正措施计划 CAR Corrective Action Record 纠正措施要求单 CAS Chinese Academy of Sciences 中国科学院 CBM Cubic Meter(体积)立方米

CCC Customs Cooperation Council 海关合作理事费

CCC(3C)China Compulsory Certification 中国强制性认证

CCSI China Customer Satisfaction Indices 中国顾客满意度指数 CE Concurrent Engineering 并行工程

CEO Chief Execute Officeholder 首席执行官 CH Custom House 海关

CI Consumers International 消费者国际

CIMS Computer Integrated Made Systems 计算机集成制造系统 CL Center Line 中心线

CNC Computer Number Control 计算机数字控制 COP Customer Orientation Process 顾客导向过程 Cp Capability Indices 工程能力质数

CPD Continue Profession Development 持续职业发展 Cpk Capability Indices/Bias 工程能力指数/有偏置 CRM Customer Relation Management 顾客关系管理 D&D Design and Development 设计与开发 DCC Document Control Center 文件控制中心

DE Design Engineering(Engineer)设计工程(师)DIN Deutsches Insitut Fur Normung 德国标准化学会

DMAIC Define Measure Analyze Improve Control 定义/测量/分析/改进/控制 DNV Det Norske Verities 挪威船级社 DOE Design of Experiments 实验设计

DSS Decision Support System 决策支持系统 ECN Engineering Change Note 工程更改通知

ECO Engineering Change Order 工程更改指令(单)EDP Electronic Data Processing 电子数据处理

EE Environment Engineering(Engineer)环境工程(师)

EMBA Executive Master of Business Administration 高级工商管理硕士 EMC Electromagnetic Compatible 电磁兼容

EMS Environment Management System 环境管理体系 EMS Efficiency Management System 效率管理体系 ERP Enterprise Resources Planning 企业资源计划

FCC Federal Communications Commission 美国联邦通讯委员会 FDA Food and Drug Administration 美国食品与药品协会 FIFO First In First Out 先进先出

FMEA Failure Mode and Effects Analysis 失败模式与效应分析 FMS Flexible Manufacturing System 柔性制造系统

FQA Final Quality Assurance 最终品质保证 FQC Final Quality Control 最终品质控制

GAR German Accreditation Council 德国认可委员会 GB Green Belt 绿带

GB(汉语拼音缩写)中国国家标准

GB/T(汉语拼音缩写)推荐性中国国家标准

GD&T Geometry Dimension and Tolerance 几何尺寸与公差 GM Green Manufacturing 绿色制造

GRR Gage Repeatability and Reproducibility 量具的重复性和再现性 H.P House-power 马力

h.p.n House-power Nominal 标称马力

IE Industry Engineering(Engineer)工业工程(师)

IEC International Electrotechnical Commission 国际电工委员会 ILO International Labor Organization 国际劳工组织 IM Intelligent Manufacturing 智能制造

IPQA Inprocess Quality Assurance 制程品质保证 IPQC Inprocess Quality Control 制程品质控制 IQA Incoming Quality Assurance 来料品质保证 IQC Incoming Quality Control 来料品质控制 IS International Standard 国际标准

ISO International Standard Organization 国际标准化组织 IT Information Technology 信息技术

JAB Japan Accreditation Board Quality System 日本质量体系认可机构 JIS Japan Industry Standard 日本工业标准 JIT Just in Time 必须时间

KAB Korean Accreditation Board 韩国认可委员会 KFQ Korean Foundation for Quality 韩国质量财团 L/C Letter of Credit 信用证 LCL Low Control Line 下控制线 LP Lean Production 精益生产

LR Lloyd’s Register of Shipping, LR 劳式船级社 LS Locus Sigil(Place of Seal)盖印处 LSL Low Specification Limit 规格下限

MBA Master of Business Administration 工商管理硕士 MBB Master Black Belt 黑带大师

MBO Managing by Objectives 目标管理

ME Machine Engineering(Engineer)设备工程(师)MIS Management Information System 管理信息系统 MR Method Research 方法研究

MRB Material Return Bill 返纳物料清单 MRB Material Review Board 材料评价委员会

MRP Material Requirement Planning 物料需求计划

MRP Ⅱ Manufacturing Resources Planning 制造资源计划 MSA Measure System Analyze 测量体系分析

MSDS Material Safety Data Sheet 物料安全数据表 MTO Make to Order 订货型生产 MTS Make to Stock 备货型生产 NC Number Control 数字控制 NG No Goof 不良

OA Office Automation 办公自动化

ODM Origin Design Manufactory 原设计制造商 OEM Origin Equipment Manufactory 原设备制造商 Off-JT Off Job for Training 脱岗培训 OJT On Job for Training 岗位培训

OQA Outgoing Quality Assurance 出货品质保证 OQC Outgoing Quality Control 出货品质控制 P.P Pilot Production 试验产品 P.S Pilot Sample 试验样品 P/L Part List 物料清单 P/N Part Number 部品号

P/O Purchase Order 采购订单

PDCA Plan-do-check-action 计划-实施-检查-措施

PE Production Engineering(Engineer)生产工程(师)PMC Production Material Control 生产物料控制

PPAP Production Part Approval Process 生产件批准程序 PPM Part per Million 百万分率 PSO Process sign-off 过程认定

PVT Production Verification Test 生产验证试验 QA Quality Assurance 品质保证 QC Quality Control 品质控制

QCC Quality Control Circle 品管圈

QE Quality Engineering(Engineer)品质工程(师)QFD Quality Function Development 质量机能展开 QMS Quality Management System 质量管理体系 QS Quality System 质量体系

QSA Quality System Assess 质量体系评定

QSR Quality System Requirement 质量体系要求 QST Quality System Team 质量体系小组 R&D Research and Development 研究与开发

R&R Repeatability and Reproducibility 重复性和再现性 RAB Registrar Accreditation Board 美国认可委员会 RPN Risk Priority Number 风险系数

RVA The Dutch Accreditation Council 荷兰认可委员会 SE Schedule Engineering(Engineer)计划工程(师)

SGS Society Generate de Surveillance S.A.瑞士通用公证行 SPA Statistical Process Analysis 统计过程分析 SPC Statistical Process Control 统计过程控制 SPD Statistical Process Diagnose 统计过程诊断 STD Standard 标准

T、Q、C Time、Quality、Cost 交期、质量、成本 TE Test Engineering(Engineer)测试工程(师)TPM Total Productive Maintenance 全面生产设备保养 TPM Total Productive Management 全面生产管理 TQC Total Quality Control 全面质量管理 TQM Total Quality Management 全面质量经营 TT(T/T)Telegraphic, Transfers 电汇汇票

TUV Technischer uber-wachungsverein Germany 德国技术监督协会 UCL Up Control Line 上控制线

UKAS The United Kingdom Accreditation Service 英国认可服务组织 UL Underwriters Laboratories 美国保险商实验室 UN United Nations 联合国

USL Up Specification Limit 规格上限 VA Value Analysis 价值分析 VE Value Engineering 价值工程 VER Version 版本

VM Virtual Manufacturing 虚拟制造

WHA World Health Assembly 世界卫生大会 WHO World Health Organization 世界卫生组织 WIP Work in Process 在工品

WIPO World Intellectual Property Organization 世界知识产权组织 WMO World Meteorological Organization 世界气象组织 WTO World Trade Organization 世界贸易组织

地质常用名词缩写 篇7

AVO:Amplitude Versus Offset 振幅随偏移距变化

BSR:Bottom Siniulating Reflections似海底反射

A40H:衰减电阻率

ADIA:Average Borehole Diameter平均井眼直径

AT10:Array Induction Two Foot Resistivity A10 阵列感应两脚电阻率

DRHO:Bulk Density Correction 体积密度矫正

DTCO(.US/F):Delta-T Compressional

DTSM(.US/F):Delta-T Shear

ECD:Equivalent Circulating Density 当量循环密度

FLAIR:现场实时流体预测

FLD:FIELD 现场

geoNEXT:定量快速色谱分析

HCAL(.IN):HRCC Caliper Calibrated

HSGR(.GAPI):HNGS Standard Gamma-Ray

KINT(.MD): Intrinsic Permeability

LOC:LOCATION

MD:Measured Depth 测深;

MFS:最大海泛面泥岩FS:准层序海泛面泥岩

NPOR_LIM.V/V:Enhanced Thermal Neutron Porosity(matrix Limestone)热中子孔隙度 P16H:相移电阻率 :PIGN.V/V :Effective Porosity, VISO, VPAR, and Bound Water Removed

QDFT:定量荧光分析

Rop:Rate of Penetration 钻进速度

RHGA.G/C3 :Apparent Grain Density

RHOZ(.G/C3):HRDD Standard Resolution Formation Density

SUWI(.V/V):Non-Clay Water Intergranular Water Saturation for Undisturbed Zone TNPH:特定岩石的热中子孔隙度

TVD:True Vertical Depth 垂直深度

TVDSS:水下真垂直深度=TCD-DF;

VCL(.V/V):Volume of Clay Type Material Relative to Total Volume

VCL1(.V/V):Volume of Clay 1 Relative to Total Volume

VCLC(.V/V):Volume of Calcite Relative to Total Volume

VMHY(.V/V):Volume of Moved Hydrocarbon Relative to Total Volume

VQUA(.V/V):Volume of Quartz Relative to Total Volume

VUGA(.V/V):Volume of Gas in Undisturbed Zone

VUWA(.V/V):Volume of Water in Undisturbed Zone

VXBW(.V/V):Volume of Bound Water in Flushed Zone

VXGA(.V/V):Volume of Gas in Flushed Zone

VXWA(.V/V):Volume of Water in Flushed Zone

常用服装英语缩写(七) 篇8

rn # reference number 参考号

lcl less container loaded 拼柜?

p/c polyester/cotton 涤纶/棉

t/c terylene/cotton 涤纶/棉

ext. extension number分机号

cell. cellular phone 手机

upc universal product code 通用产品码,通用货单代码

cmpt cut/make/pack/trim

iq including quota

eq excluding quota

s/y sample yardage

lsaw. 穿后左侧骨(left side as weared)

sku stock keeping unit为储存单位。8位数代码为最小级商品单位。

coo label: country of origin label 原产唛

goh garment on hanger 走挂装

fcl full container load 走整柜

tbd to be determined 待定

l/s long sleeve 长袖

cfs container freight station 走拼箱

cy container yard 走整柜

whk whisker 猫须

h/s 手擦

t/p teck pack 技术档案

t/c polyester / cotton

t/r polyester / rayon

f.o.a. feed off arm 埋夹

t/t telegraphic transfer 电汇

cvc chief value of cotton

irre.l/c irrevocable l/c 不可撤销信用证

imag.line imaginary line 参考线

c 棉

v 维纶

t 涤纶

r 粘胶

a 腈纶

o 丙纶

n 锦纶

f 麻

s 丝

w 毛

n/a not available

eta estimated time of arrival 估计到达时间

etd estimated time of delivery

mop mother of peral 仿珍珠钮

英语常用词缩写简写 篇9

1.A.B.NoAccepted Bill Number进口到单编号

2.A/CAccount帐户

3.AdAdvertisement

4.A/DAfter Date发票后定期付款

5.A.F.BAir Freight Bill航空提单、6.AgtAgent代理商

7.AMAmount金额

8.A/OAccount of进某户帐

9.A/PAuthority to purchase委托购买证

10.A.PAccount payable应付款

11.ApproxApproximate大约

12.A.R.Account Receivable应收款

13.Asst.Assistant助理

14.A/VAccording to value按值

15.Bal.Balance余额

16.B/BBreakbulk 散件杂货

17.B/CBill for collection托收票据

18.B.DBill discounted贴现票据

19.B/DBank Draft银行汇票

20.B/ EBill of exchange汇票

21.B/FBrought Forward承前页

22.BKBank银行

23.BkgBanking银行业务

24.B/LBill of lading提货单

25.B.NBank note银行抄票

26.B.OBranch Office分支行

27.B/S or B.SBalance Sheet余额表

28.C.ACredit Advice收款报单

29.C.A.D.Cash against Documents付现交单

30.CancCancel取消

31.C/bClean bill 光票

32.C.B/D.Cash before delivery付现后交货

33.CBMCubic Metre 立方米

34.CFRCost and Freight 成本加运费

35.C.ICertificate of Insurance保险单

36.C.I.FCost,Insurance and Freight运费,保险费在内价

37.CKCheck支票

38.CMCommission佣金

39.C/NCredit Note收款通知

40.c/ocare of转交

41.C/OCertificate of Origin 原产地证明书

42.C/SCase or Cases箱

43.ctscents美分

44.C.W.OCash with Order凭票即付

45.CrCredit贷方

46.C.O.DCash on Delivery付款交货

47.C.P.A.Certified Public Accountant会计师

48.D/ADocuments against Acceptance 承兑后交单

49.Dept.Department 局;部

50.DEQDelivered Ex-Quay 仓库交货

51.Disc.Discount 铁线;折扣

52.D/NDebit Note 付款通知

53.do

54.D/O

55.Doz.56.D/P

57.Dr.58.eq.59.Exp.60.EXW

61.F.A.A.62.F.O.B.63.F.O.I.64.F.O.R.65.F.O.T.66.F.P.A

67.F.X.68.G/N

69.GWT

70.H.O.71.I/C

72.i.e.73.Imp.74.IN

75.IOU

76.Insur.77.Inv.78.I/P

79.Kg.80.L/A

81.lbs.82.L/C

83.L/I

84.L/U

85.M/N

86.nt.wt.87.N.W.ditto 同上 Delivery Order 交货单 Dozen 打Document against Payment 付款交单 Debit 借方 equivalent 相等 Export 出口Ex-Work 工厂交货Free of All Average 全损赔偿Free on Board 船上交货价Free of Interest 免息Free on Rail 火车上交货价Free on Truck卡车上交货价Free of Particular Average平安险Foreign Exchange外汇Guarantee of Notes 承诺保证Gross Weight 毛重Head Office 总行Inward Collection 进口托收that is 就是Import 进口Interest 利息I owe you 欠条Insurance 保险Invoice 发票Insurance Policy 保险单Kilogram 公斤Letter of Authorization 授权书Pounds 磅Letter of credit 信用证Letter of Indemnity赔偿保证书Letter of Undertaking 承诺书Minimum 最低额net weight 净重net worth 净值

88.N/Pnet profit 净利

89.OZ.Ounce 应良;盎司

90.pat.patent 专利

91.pcpiece;prices 片,块;价格

92.P.C.Percent 百分数

93.Pkg.Package 包裹

94.Pd.Paid 付款

95.P.O.D.Pay on Delivery 发货付款

96.P.O.B.Post Office Box 邮政信箱

97.P.O.R.payable on received 货到付款

98.P&Lprofit and loss 盈亏,损益

99.P.S.Post Script 附言

100.P.T.O.Please turn over请阅反面

101.quota.quotation 报价

102.QCquality control 质量控制

103.RMRemittance 汇款

104.R.O.Remittance Order 汇款委托书

105.S/DSight Draft见票后即付汇票

106.SE.Securities抵押品

107.SECspecial economic zone 经济特区

108.spec.specification 规格;尺寸

109.Sq.square平方;结清

110.SLCStandby LC 备用信用证

111.STIPshort-term insurance policy 短期保险单

112.T&Htemperature and humidity 温度和湿度

113.TMtrademark 商标

114.TNCTransnational/multinational company 跨国公司 115.TODtime of delivery 发货时间

116.T.P.N.D.Theft, Pilferage and Nondelivery

117.TSTtest 检查;检测

118.T/TTelegraphic Transfer 电汇

119.U/Aunderwriting account 保险帐户

120.V.A.T.Value Added Tax 增值税

121.ves.Vessel 船舶

122.via.through, by way of 经由,通过

123.VIPvery important person 贵宾

124.vol.volume 量,额,本,卷,容积

125.VQAvendor quality assurance 售主质量保证

126.WBWorld Bank 世界银行

127.WCOWorld Customs Organization 世界海关组织 128.WEFWorld Economic Forum 世界经济论坛

129.W.E.T.Western European Time 西欧时间,即格林尼治时间 130.WFOEwholly foreign owned enterprises 外资独资企业 131.whs, whsewarehouse 仓库

132.whslewholesale 批发

133.WHOWorld Health Organization 世界卫生组织 134.W.P.A.With Particular Average=With Average 水渍险 135.W.RWar Risks 战争险/兵险

136.wt.weight 重量

137.W/TaxWithholding Tax 预扣税

常用国际贸易实务术语缩写翻译 篇10

S.S.:蒸汽船

40’GP:40尺普柜

F.I.:管卸不管装

A.W.B:航空运单

F.O.:船方管装不管卸 S.W.B:海运单

F.T.:运费吨

ACCEPTANCE:承兑或接受

FAS

:装运港船边交货

APL:美国总统班轮船务公司

FCA:货交承运人

AW:全程水运

FCL:整箱货

BAF:燃油附加费

FOB:装运港船上交货

BV:法国船级社 FPA:平安险

CAF:货币贬值附加费 FREIGHT PREPAID:运费预付

CATELOGUE:样品本

FREIGHT TO COLLECT:运费到付

CCIC:中国国际商会

G.A.:共同海损

CCPIT:中国国际经济贸易促进委员会

G.W.:毛重

CFR:成本加运费 GSP FORM A:普惠制A格式原产地证

CFS:集装箱货运站

H.S.CODE:关税税则号

CFS-CFS:货运站到货运站

HACCP:风险关键控制点

CIC:中国保险条款 HANJIN:韩国韩进船务公司

CIETAC:中国国际经济贸易仲裁委员会HUYNDAI

:韩国现代商船公司

CIF:成本、运费加保费

ICC:伦敦货物协会保险条款

CIP:运费、保险费付至

IMP.:进口

CIQ:中国出入境检验检疫局

INCOTERMS:《贸易术语解释通则》 CISG:《联合国货物销售合同公约》 ISBP:《关于审核跟单信用证项下单据的国CISS:全面进口监督计划

际标准银行实务》

CMA-CGM:法国达飞船务有限公司

ISP98 :《国际备用信用证惯例》

CNF:成本加运费

LAC:托运人装箱点数

COD:交货付现

LCL:拼箱货

CONTRACT:合同

MAERSK-SEALAND:马士基海陆船务公司COSCO:中国远洋运输集团公司

MSC:地中海航运公司

CPT:运费付至

MT(M/T):公吨

CRF:清洁报告书

MTD:多式联运单据

CTN:纸箱

N.W.:净重

CWO:随定单付现 NEGOTIATE:议付或转让 CY:集装箱堆场 NVOCC:无船承运人 CY-CY:堆场到堆场

NYKK:日本邮轮船务公司

DAF:边境交货

OCP:内陆公共点

DDP完税交货

OEM、贴牌或原始设备制造商

DDU:未完税交货

OFFER:发盘

DEPOSIT:定金

OMIC:日本海外货物检查株式会社

DEQ:目的港码头交货

OOCL:东方海外货柜公司

DES:目的港船上交货

OPEN POLICY:预约保单 DOC:单据 ORC:产地接货费

DOZ:打

ORDER:抬头

EDI:电子数据交换 PCS:件

EMP.:出口 PICC:中国人民保险公司

ENDORSE:背书

PRICELIST:价目单 ENQUIRY:询盘

PSI:装船前预检验 ETA:预计抵港日期 PSS:旺季附加费

ETD:预计开航日期

QC:品质控制(品管)

EVERGREEN:长荣海运

REIMBURESE:偿付

SA8000:社会责任标准体系

SAMPLE:样品

SGS:瑞士通用公证行

SINOTRANS:中国对外贸易运输集团公司

STC:据托运人称

SWIFT:环球银行金融电讯协会

TAX REFOND:出口退税

TELEX

RELEASE:电放 TEU:标准箱

THC:码头操作费

TO ORDER:空白抬头

UCP600:《跟单信用证统一惯例》(600号)UL:美国担保人实验室

UNIGLORY:立荣海运

URC522:《跟单托收统一惯例》

WA:水渍险

WESTUNION:西联汇款

ZIM:以色列以星船务公司

B/E:汇票

B/L:提单

B/N:托单或定舱委托书

C/O:一般原产地证

C/R:铁路货物收据

D/A:承兑交单

D/D:票汇

D/O:提货单或小提单

D/P.T/R:付款交单凭信托收据借单 D/P:付款交单

D/R:场站收据

FCL/FCL:整箱交/整箱接

H B/L:货代提单或分提单

L/C:信用证

LCL/LCL:拼箱交/拆箱接 M B/L:船公司提单(船东单)M/R:大副收据

M/T:信汇

MT(M/T):公吨

N/M:无唛头

N/N B/L:不可转让提单或副本提单 P/I:形式发票

P/L:装箱单

P/O:购货定单

S/C:销售确认书

S/O:装货单或关单 T/T:电汇

W/T:转运

常见电力英文缩写 篇11

说明:为西屋公司OVATION系统的一个软件包,实现对智能变送器、智能执行器的诊断、调校、故障预测、定期校验管理等功能,采用该系统能节省大量的设备调试时间及维护量。DCS:distributed control system 分散控制系统

说明:对生产过程进行数据采集、控制、保护、监视等。

DEH:digital electro-hydraulic control system 数字式电液调节系统

说明:采用微机系统对汽轮机进行转速、功率调节及实现超速保护等。

MEH:micro-electro-hydraulic control system 小汽机数字电液调节系统

说明:针对给水泵小汽轮机采用的数字控制系统,实现转速及给水流量的控制。ETS:emergency trip system 汽轮机危急跳闸系统

说明:对汽轮机出现异常情况时,发出指令卸去安全油压,全关主汽门,保护汽轮机。METS:micro emergency trip system 小汽轮机危急跳闸系统

说明:对给水泵的小汽轮机出现异常情况时,发出指令卸去安全油压,全关主汽门,保护小汽轮机。

MIS:management information system 管理信息系统

说明:为企业的生产经营和决策提供信息,包括生产、计划、物资、财务、销售、人事、档案等子系统。

SIS:supervisory information system 厂级监控信息系统

说明:机组的经济性诊断、厂级的经济性分析、厂级负荷分配等

BOP:balance of plant 辅助控制网

说明:将水、煤、灰等辅助系统的控制系统通过网络连接起来,实现集中监控。

TDM:turbine diagnostic management system 汽轮机诊断管理系统

说明:实现在线连续监测汽轮发电机组运行过程中的振动及各种工艺参数,并自动存储有价值的数据,提供专业的诊断图谱,可及时识别机组的状态、发现故障的早期征兆,从而及早消除故障隐患,提高设备的可靠性、降低维修成本。

TSI:turbine supervisory instruments 汽轮机监视仪表

说明:监视汽轮机运行状态,包括:转速、振动、膨胀、位移等机械参数。

BPC:bypass control system 旁路控制系统

说明:锅炉和汽轮机旁路的自动投入及蒸汽压力、温度自动控制系统的总称。

FSSS:furnace safetyguard supervisory system 炉膛安全监控系统

说明:当锅炉炉膛燃烧熄火时,保护炉膛不爆炸而采取的监视和控制措施的自动系统,包括炉膛安全系统FSS(furnace safty system)和燃烧器控制系统BCS(burner control system)。

BCS:burner control system 燃烧器控制系统

说明:根据锅炉负荷变化的要求和炉膛燃烧器布置形式,自动切投燃烧器的控制系统。

ATC:automatic turbine startup or shutdown control system 汽轮机自启停系统

说明:根据汽轮机的热应力或其它设定参数,指挥汽轮机控制系统完成汽轮机的启动、并网

带负荷或停止运行的自动控制系统。

SCS:sequence control system 顺序控制系统

说明:对某一工艺系统或主要辅机按一定规律进行控制的控制系统。

MCS:modulating control system 模拟量控制系统

说明:实现锅炉、汽轮机及辅助系统参数自动控制的总称。

CCS:coordinate control system 协调控制系统

说明:实现锅炉和汽机协调控制负荷和压力。

SOE:sequence of event 事件顺序记录

说明:在发生事故时,记录开关动作的顺序,按先后时间打印出来。

OPC:over-speed protection control 超速保护控制

说明:抑制汽轮机超速的控制功能,当汽轮机转速超过103%或加速度大于设定值时快关调门。

MFT:master fuel trip 主燃料跳闸

说明:由人工操作或保护信号自动动作,切除进入炉膛的所有燃料。

AGC:automatic generation control 自动发电控制

说明:根据电网负荷指令控制发电机功率的自动控制系统。

ADS:automatic dispatch control 自动调度系统

说明:根据电网负荷、被控机组微增率和线损,实现经济调度(负荷分配)的自动控制系统。APS:automatic power plant start up&shut down system 机组自启停系统

说明:是机组自动启动和停运的信息控制中心,它按预先设定好的程序发出各个设备或系统的启动/停运命令,再由MCS、SCS、CCS、FSSS、DEH、MEH、BPC、ECS和AVR电压自动调节等控制系统分步协调完成,最终实现发电机组的自动启动或自动停运。

FCB:fast cut back 机组快速甩负荷

说明:当机轮及或发电机甩负荷时,使锅炉不停运的一种措施。

R B:run back 辅机故障减负荷

说明:当主要辅机(如送、引风机、给水泵、空预器)的一台发生故障,机组不能带额定负荷时,快速降低机组负荷的措施。

P C: power center 动力中心

说明:380V低压厂用电系统,通常在一个单元中设有若干个动力中心,简称PC。

MCC: motor control center 马达控制中心

说明:由PC供电的若干电动机(马达)控制中心,简称MCC。

UPS:uninterruptable power supply 不停电供应系统

说明:

AVR:automatic volage regulation and static excitation自动电压调整装置

说明:

DVR:data volage regulation and static excitation数字电压调整装置

说明:

GIS:SF6气体绝缘金属全封闭组合电器

说明:

ECS:electric control system厂用电(电气)监控系统

CT:current transformer电流互感器

说明:将大电流就小电流,以供给测量和继保等二次设备使用的特种变压器

PT:potential transformer电压互感器

说明:将高电压就低电压,以供给测量和继保等二次设备使用的特种变压器

简写全称汉语

A/D Analogue/Digital 模/数

A/M Auto/Manual 自动/手动

AC Alternating Current 交流电

ADS Automatic Dispatching System 自动调度系统

AGC Automatic Generating Control 自动发电系统

ASS Automatic Synchronising System 自动同期系统

ATC Automatic Turbine Control 汽轮机自动控制

AVR Automatic Voltage Regulator 自动电压控制

BMS Burner Management System 燃烧器管理系统

BMCR Boiler Maximum Continue Rating 锅炉最大连续出力 BP Bypass 旁路

CCS Coordinated Control System 协调控制系统

CFB Circulating Fluidize Bed 循环流化床

CIV Combined Intermediate Valve 中联门

CLD Central Load Dispatcher 负荷调度中心

Cond Condenser 凝结器

CPU Central Processing Unit 中央处理单元

CRT Cathode-Ray Tube 显示器

CT Current Transformer 电流互感器

CV Check Valve 逆止门

DAS Data Acquisition System 数据采集系统

DC Direct Current 直流电

DCS Distributed Control System 分散控制系统

DEH Digital Electro-Hydraulic(Control)数字电液控制系统

DPU Distributed Processing Unit 分散处理单元

ECR Economic Continue Rating 经济连续出力

EBOP Emergency Bearing Oil Pump 紧急润滑油泵

EMS Energy Management System 电能管理系统

EOP Emergency Oil Pump 事故油泵

EPROM Electrically Programmable Read Only Memory 可编程只读存储器 ES Engineering Station 工程师站

ETS Emergency Trip System 汽机紧急跳闸系统

EWS Engineering Work Station 工程师工作站

FATT Fracture Appearance Transition Temperature 脆性转变温度 FCB Fast Cut Back 快切

FD Flame Detestor火焰检测器

FDF Forced Draft Fan 送风机

FSSS Fuel Safeguard Supervisory System 锅炉安全监测系统 FWCS Feed Water Control System 给水控制系统

HPSV High Pressure Stop Valve 高压截止门

ICV Intercept Control Valve 中压控制门

GPS Global Positioning System 全球定位系统

IDF Induced Draft Fan 引风机

IGCC Integrated Gasification Combined Cycles 整体煤气化联合循环 IP Intermediate Pressure 中压

ISV Intermediate Stop Valve 中压控制门

IPSV Intermediate Pressure Stop Valve 中压控制门 IV Intermediate Valve 中压门

MCC Motor Control Center 电动机控制中心

MCR Maximum Continue Rating 最大连续出力 MEH Micro Electro-Hydraulic(Control)微机电液控制 MFT Master Fuel Trip 主燃料切除

MIS Management Information System 管理信息系统 MOP Main Oil Pump 主油泵

MOV Motor Operation Valve 电动门

MSG Minimum Stable Generation 电波稳定负荷

SOP Seal Oil Pump 密封油泵

OFT Oil Fuel Trip 油燃料跳闸

OPC Overspeed Protection Control 超速保护

PID Proportional-Integral-Differential 比例积分微分

SCADA Supervisory Control And Data Acquisition 监控与数据采集系统 PLC Programmable Logic Control 可编程控制

PRV Pressure Reducing Valve 减压门

RSV Reheater Stop Valve 再热主汽门

RTU Remote Terminal Unit 远方终端单元

SCS Sequence Control System 顺序控制系统

SOE Sequence Of Event 事故顺序

TSI Turbine Supervision Instrument 汽机监测仪表

UPS Uninterrupted Power Supply 不间断供电系统

VWO Valve Wide Open 阀门全开

GV Governing Valve 调节门

PSS Power System Stabilizer 电力系统稳定

上一篇:幽默搞笑的端午节祝福短信下一篇:民政工作计划素材模板