高速实时仿真

2024-08-25

高速实时仿真(共7篇)

高速实时仿真 篇1

目前,对三相电压型SVPWM整流器的研究多集中在空间电压矢量脉宽调制(SVPWM),它动态响应速度快,稳态性能好,容易微处理器实现,但实现复杂,实时控制要求高,需高速微处理器[1,2,3,4,5],仿真方法多采用Matlab/Simulink的连续域仿真。纯数字离线仿真无法考虑到包括实际处理器的运算能力、存储器的限制、中断及I/O接口电路电气特性等诸多因素[5,6,7,8,9,10,11,12,13,14]。在基于VHS-ADC三相整流器高速实时仿真平台的设计的基础上,深入研究高速仿真系统,提出基于FPGA的VHS-ADC高速数字信号处理系统构建三相电压型SVPWM整流器高速实时仿真系统,设计了VHS-ADC高速仿真平台与主电路通用接口板电路以及过电流保护电路,构建了高速仿真系统实验装置。

1 主电路拓扑结构和数学模型

三相电压型SVPWM全控整流器的主电路拓扑结构如图1所示。

对三相整流桥开关函数S定义为

其中,k=a,b,c(a,b,c三线相连的功率管)。

以交流侧电感电流和直流侧滤波电容输出电压为状态量,三相静止坐标系下的系统模型为

其中,Lre、Rre为交流侧电感值和等效电阻,ua、ub、uc分别代表三相电压源电压,udc是直流侧输出电压。

在三相静止坐标系下,由于ua、ub、uc之间以及ia、ib、ic是时变交流量,且相互之间存在耦合,系统控制做不到无静差,因此,通过Park变换转变为两相旋转坐标系下的数学模型为

其中,id、iq分别为dq坐标系下的d轴电流(有功电流)和q轴电流(无功电流),ud、uq分别为dq坐标系下的d轴电压和q轴电压,ω为电源角频率,Sd、Sq为开关函数S在dq坐标系下的有功分量和无功分量。

2 VHS-ADC仿真系统结构

VHS-ADC是一种基于FPGA的高速数字信号处理系统,系统采用Virtex-Ⅱ系列FPGA作为主要信号处理模块,为用户提供了基于Matlab/Simulink、Xilinx/Altera FPGA的集成开发环境,无缝地实现自顶向下的开发流程。VHS-ADC内部拥有丰富的门资源与硬件乘法器,工作频率可达420 MHz,高速A/D通道采样率可达105 MSPS,高速D/A通道采样率可达125 MSPS,32位的GPIO和FPDP接口建立了与外界高速数据通道,具有高度的并行运算能力,实时性更强。构建的三相电压型SVPWM整流器的高速实时仿真系统,以VHS-ADC、CPCI工控机作为控制系统主体,结合主电路拓扑结构,辅以硬件接口电路等设备构成了电路测试和试验平台。整个仿真系统结构如图2所示。

整个系统需要检测的信号有三相电压源信号ua、ub、uc和交流侧输入电流ia、ib、ic以及直流侧输出电压udc。整个系统结构简单明了,易于实现。

3 平台接口电路设计与衔接

3.1 电压和电流信号采集调理电路设计

3.1.1 电压信号的采集

交流电压信号采集采用SLMV2000E传感器,采集电压范围0~2000 V,变比为400:1,能在电隔离条件下测量直流、交流、脉冲以及各种不规则电压波形。交流电压采集调理电路如图3所示。

电路主要作用是使自耦变压器和电压传感隔离降压之后的电压信号能够匹配平台A/D接口。

直流侧电压采用线性隔离光耦SLC800采集。SLC800是一种非常先进的线性光电耦合器,使用高匹配晶体管使伺服反馈回路和传递输出回路达到非常好的匹配。直流侧电压采集调理电路如图4所示。

在图4中,直流侧电压为uin=udc,经过电阻分压和电压跟随器可得到线性光耦的输入电压为

根据SLC800的工作原理,可以得到经过调理之后的直流侧的电压信号为

通过调节电位器R的值,可得到不同倍数下的输出值,同时必须满足uout≤2.25 V,不超过VHS-ADC平台A/D接口所允许通过的最大值。

3.1.2 霍尔传感器采集交流电流信号

交流电流信号的采集和调理电路如图5所示。

传感器采用双电源供电“+/-12 V”,其输出电流信号经测量电阻R转换为电压信号后,输出的双极性信号恰好落在-1.125~1.125 V的范围,传给VHS-ADC的A/D端口。

3.2 VHS-ADC与接口板的衔接

3.2.1 A/D端口的衔接

根据A/D特性,输入电压的最高值为2.25 V,可得到模拟量与数字量的比例关系为

其中,UA为A/D的模拟输入量,UD为数字量。A/D端口的每一位对应的模拟量为0.14 mV。

根据交流侧的电压和电流采集及调理电路和式(6),可得电压和电流信号采集后数字量表达式为

R为电流传感器的测量电阻,Ua、Ia为电网电压和电流的初始模拟信号,UD、ID为经过A/D转换后的数字量信号。

根据直流侧电压采集和调理电路及式(5)(6),可得直流侧电压信号的数字量表达式为

则式(5)可计算简化为udc D=7 udc。直流侧电压应在整流器控制模型内降低1/7可还原到初始信号值。

3.2.2 GPIO端口的衔接

端口的衔接是用TTL电路驱动CMOS电路,采用TTL电路的输出端与+5 V电源之间接入上拉电阻RG。当TTL输出高电平时,输出级的负载管和驱动管同时截止,故有

其中,UOH为TTL驱动管的输出电压,UDD为电路供电电源,IO为TTL电路输出级截止时的漏电流,IIH为负载管的输入电流。根据GPIO的分配表可得到GPIO针脚与IGBT管脚的对应关系。

3.3 过电流保护电路设计

为保护VHS-ADC平台安全,需要设计过电流保护电路,避免平台承受其不允许的电流允许应力,防止过流将平台损伤。过电流保护电路由P817(光耦)、MC74HC30(与非门)、CD4013(D触发器)、MC74 HC08AD(与门)、ULN2003(达林顿阵列)、驱动模块组成。

4 接口及系统实验仿真

4.1 接口板实验

为了验证所设计平台接口板的正确性,调试完PCB板之后,得到如下实验结果。

a.电压采集波形如图6所示。

由图6(a)分析,电网A相输入电压为Us=30 V,曲线1为电网电压经过变压器和电压传感得到的输入波形,曲线2为经过调理电路后的输出波形,可以看出电压的相位和幅值完全一致,表明电压采集调理电路的正确性。在图6(b)中,在线形光耦采集调理电路的电阻分压之后输入直流电压信号为0.806 V,输出电压信号为0.077 V,隔离光耦线形度满足要求,验证了线形隔离光耦传输线形度好,所设计的采集调理电路的正确性。

b.GPIO的输出控制脉冲与IGBT的集电极和基极两端的控制脉冲对应关系如图7所示。

由图7分析,信号是从GPIO口输出的控制脉冲,最高逻辑电平被上拉电阻提升到5 V,满足了TTL与CMOS电路的转换条件。IGBT的控制脉冲高电平为+14 V,此时管子导通,低电平为-8 V,管子关断。可看出从平台内部输出的GPIO控制脉冲与IGBT的控制脉冲完全对应,验证了平台接口板设计的正确性。

4.2 系统回路实时仿真

根据图2硬件在回路仿真系统的结构图,构建了基于VHS-ADC高速信号处理系统的三相电压型SVPWM整流器的半实物物理实验平台。

整个实验系统平台的采样频率(fA)、控制模型中Sysgen的采样频率(fB)、模型中模块的采样频率(fC)以及系统运行时的实际采样频率(fD)存在以下关系:fA/fD=fB/fC。实验中设fA=50 MHz、fB=50 MHz、fC=100 kHz、fD=100 kHz,交流侧输入电压Us=24 V,电感L=6 m H,电容C=1000μF,直流侧输出电压为100 V,负载为36Ω。以300 W为例验证基于FPGA的VHS-ADC构建三相电压型SVPWM整流器的高速仿真系统的可行性。获得下面的仿真实验结果。

a.A相交流侧电压和电流的输入波形如图8所示。

从图8中可看出,Us=23.92 V,Is=4.09 A,经计算输入功率Pin=293.5 W。交流侧的电压和电流基本上达到正弦波,并且保持同相位,基本实现了输入侧电流的正弦化,实现高功率因数。

b.直流侧输出电压波形如图9所示。由图9输出一条电压波形可知,在测量直流侧输出电压时,电压探头的电压比为20:1,测得直流电压的平均值为4.95 V,实际输出电压为99 V,电压有微小的波动,输出电压达到电压给定值100 V。

经计算输出功率Po=272.5 W,与输入功率基本一致,功率的损耗由高开关频率动作以及电感振动产生机械能和散失的热能等造成的。综上所述,整流器的交流侧输入功率因数高,输出直流电压稳定,验证了基于FPGA的VHS-ADC构建的三相电压型SVPWM整流器高速实时仿真系统的正确性。

5 结论

本文通过对整流器高速实时仿真平台的电压和电流信号采集电路及调理接口电路进行了设计,通过实验验证设计的正确性和可行性。提出基于FPGA的VHS-ADC高速信号处理系统构建三相电压型SVPWM整流器高速实时仿真系统,通过硬件在回路中的仿真实验,可得基于VHS-ADC的研究三相电压型SVPWM整流器的方法具有系统采样率高、数据处理实时性强、建模灵活便于开发新的控制方法、高度并行运算时模型复杂程度不会影响速度等优点,为后期开展电磁探测法的大功率整流器的样机研制奠定了基础,具有一定的应用价值。

高速实时仿真 篇2

1 VHS-ADC系统

加拿大Lyrtech公司的VHS-ADC是一种基于FPGA的高速数字信号处理系统,系统采用Xilinx公司的Virtex-II系列FPGA作为主要信号处理模块,为用户提供了基于MATLAB/SIMULINK、Xilinx/Altera FPGA的集成开发环境,无缝地实现自顶向下的开发流程。VHS-ADC内部拥有丰富的门资源与硬件乘法器,工作频率可达420MHz,高速A/D通道采样率可达105 MS/s,高速D/A通道采样率可达125 MS/s,32位的GPIO和FPDP接口建立了与外界的高速数据通道,具有高度的并行运算能力,实时性强[6,7,8]。VHS-ADC系统结构如图1所示。

本文构建的三相电压型SVPWM整流器的高速实时仿真平台,以VHS-ADC、CPCI工控机作为控制系统主体,结合主电路拓扑结构,辅以硬件接口电路等设备构成了电路测试和试验平台。整个系统结构如图2所示。

整个系统需要检测的信号有三相电压源信号ua、ub、uc和交流侧输入电流ia、ib、ic以及直流侧输出电压udc。这些信号经过信号调理电路之后传输到VHS-ADC平台的A/D接口接收范围内的模拟信号,然后VHS-ADC完成系统的控制部分,最后由平台输出的SVPWM控制脉冲经过驱动及保护电路控制主电路的IGBT。整个系统结构简单明了,易于实现。

2 平台接口电路设计

(1)电压信号的采集

交流电压信号采集采用SLMV2000E传感器,它是一种高精度、快速电压传感器,电流输出型,初级和次级高度隔离,采集电压范围为0~2 000 V,变比为400:1,能在电隔离条件下测量直流、交流、脉冲以及各种不规则电压波形,且价格比LEM霍尔电压传感器低。交流电压采集调理电路如图3所示。

SLC800是一种非常先进的线性光电耦合器,使用高匹配晶体管使伺服反馈回路和传递输出回路达到非常好的匹配。直流侧电压采集调理电路如图4所示。图中,信号检测电路输出的直流侧电压信号调理成0~2.25 V范围内的模拟电压信号,然后把这些模拟电压信号送给VHS-ADC的A/D转换接口。其直流侧电压为uin=udc,经过电阻分压和电压跟随器可得到线性光耦的输入电压为:

根据SLC800的工作原理,可以得到经过调理之后的直流侧的电压信号为:

通过调节电位器R的值,可得到不同倍数下的输出值,同时必须满足uout≤2.25 V,不超过VHS-ADC平台A/D接口所允许通过的最大值。

(2)电流信号的采集

电流信号的采集采用型号为Honeywell CSNR161的霍尔电流传感器,基于霍尔效应和零磁场平衡原理测量电流,最大测量电流为125 A(rms),输入输出电流比为125 mA/125 A,交流电流信号的采集和调理电路如图5所示。

传感器采用±12 V双电源供电,其输出电流信号经测量电阻R转换为电压信号后,由运算放大器构成的电压跟随器与接口匹配,可调整电位器R的大小,使输出的双极性信号恰好落在-1.125 V~+1.125 V的范围,然后传送给VHS-ADC的A/D端口。

3 VHS-ADC与接口板的衔接

3.1 A/D端口的衔接

通过平台接口板可以检测到交流侧三相电压信号和电流信号以及直流侧的电容输出电压信号,然后传输到VHS-ADC的A/D转换接口。当使用A/D端口时,在VHS-ADAC Control Utility控制板上可以选择是否使用可编程增益(增益的范围为0~255)。如果不采用增益,则模拟输入与数字输出是成正比例关系;如果采用增益,则不成正比例关系。根据A/D特性,输入电压的最高值为2.25 V,可得到模拟量与数字量的比例关系为:

式中,UA为A/D的模拟输入量,UD为数字量。A/D端口的每一位对应的模拟量为0.14 mV。

根据交流侧的电压、电流采集以及调理电路和式(3),可得电压和电流信号采集后的数字量表达式为:

式中,R为电流传感器的测量电阻,Ua、Ia为电网电压和电流的初始模拟信号,UD、ID为经过A/D转换后的数字量信号。为了恢复初始的模拟信号的量值,需要对数字量信号进行降倍处理。根据式(4)可知,需将电压降低18倍、电流降低7.15R倍。

根据直流侧电压采集和调理电路及式(2)、式(3),可得直流侧电压信号的数字量表达式为:

取R=10 kΩ,R1=1 MΩ,R2=10 kΩ,R4=100 kΩ,则式(5)可简化为:udc D=7udc。可见直流侧的电压应该在整流器控制模型内降低7倍才能还原到初始信号值。

3.2 GPIO端口的衔接

(1)三相电压型SVPWM整流器的控制模型获得的控制脉冲信号通过GPIO输出,I/O接口的逻辑电平标

准是LVTTL,输出高电平为3.3 V,低电平≤0.4 V。

而接口板与GPIO口衔接的MC74HC08AD是CMOS集成电路,逻辑电平为5 V,接近于电源电压。TTL驱动门的最小输出电平小于CMOS负载门的最小输入电平,无法为CMOS提供符合标准的高、低电平。因此,在TTL与CMOS两种电路并存的情况下需要设计TTL电路与CMOS电路的接口。此端口的衔接是用TTL电路驱动CMOS电路,采用的方法是在TTL电路的输出端与+5 V电源之间接入上拉电阻RG。当TTL输出高电平时,输出级的负载管和驱动管同时截止,故有:

式中,VOH为TTL驱动管的输出电压,VDD为电路供电电源,IO为TTL电路输出级截止时的漏电流,I1H为负载管的输入电流。由于IO和I1H都很小,所以只要合理选取RG的阻值,TTL的输出电平可被提升为VOH≈VDD=5 V。

(2)GPIO端口是一个32位34针脚的I/O端口,理清GPIO的脉冲输出针脚与6个IGBT之间的对应关系,才能正确地控制IGBT的开关状态。根据GPIO的分配表可得到GPIO针脚与IGBT管脚的对应关系如表1所示。本设计的PCB板,信号从IGBT的2、4、6、8、10、12引脚输出。T1、T2、T3、T4、T5、T6与原理图的三相桥电路IGBT相对应,G为IGBT的集电极端。

4 实验

为了验证所设计平台接口板的正确性,调试完PCB板之后,得到实验结果如下:

(1)电压采集波形如图6所示。图6(a)为电网A相输入电压为Us=30 V,波形1为电网电压经过变压器和电压传感得到的输入波形,波形2为经过调理电路后的输出波形,可以看出电压的相位和幅值完全一致,表明电压采集调理电路的正确性。在图6(b)中,在线形光耦采集调理电路的电阻分压之后输入直流电压信号为0.806 V,输出电压信号为0.077 V,隔离光耦线形度满足要求,验证表明,线形隔离光耦传输线形度好,所设计的采集调理电路正确。

(2)GPIO的输出控制脉冲与IGBT的集电极和基极两端的控制脉冲对应关系如图7所示。

图7的信号是从GPIO口输出的控制脉冲,最高逻辑电平被上拉电阻提升到5 V,满足了TTL与CMOS电路的转换条件。IGBT的控制脉冲高电平为+14 V,此时管子导通,低电平为-8 V,管子关断。可看出从平台内部输出的GPIO控制脉冲与IGBT的控制脉冲完全对应,验证了平台接口板设计正确性。

本文通过对三相整流器高速实时仿真平台的电压和电流信号采集电路及调理接口电路的设计,通过实验可得接口设计电路电压的相位和幅值完全一致,在线形光耦采集调理电路的电阻分压后,输入直流电压信号与输出电压信号相近,隔离光耦线形度满足要求,验证了线形隔离光耦传输线形度好,设计的采集调理电路的正确性。通过从平台内部输出的GPIO控制脉冲与IGBT的控制脉冲完全对应,验证了仿真平台接口板设计的正确性和可行性,为进一步研究硬件在三相整流回路中实现高速实时仿真奠定了理论基础,具有一定的应用价值。

参考文献

[1]王永,沈颂华,关淼.新颖的基于电压空间矢量三相双向整流器的研究[J].电工技术学报,2006,21(1):104-110.

[2]赵亮,张波.电力电子级联系统稳定性判据研究及仿真验证[J].电子技术应用,2009,35(8):79-82.

[3]YU Fand,YAN Xing,HU Yu Wen.A fast algorithm for SVPWM in three-phase power factor correction applica-tion[C].IEEE Power Electronics Specialists Conference,2004:976-979.

[4]邹学渊,王京,张勇军,等.基于内模控制的改进型三相PWM整流器[J].电子技术应用,2009,35(8):76-78.

[5]胡寿松.自动控制原理[M].北京:科学出版社,2001.

[6]鲁建厦,方荣,兰秀菊.国内仿真技术的研究热点[J].系统仿真学报,2004,16(9):1910-1913.

[7]卢子广,柴建云,王祥琦,等.电力驱动系统实时控制虚拟实验平台[J].中国电机工程学报,2003,23(4):119-123.

[8]宋强,刘钟淇,张洪涛,等.大功率电力电子装置实时仿真的研究进展[J].系统仿真学报,2006,18(12):3329-3333.

电力电子器件的实时仿真 篇3

电力电子器件是电力电子装置的基础, 其性能制约着电力系统中电力电子装置的性能。当前, 电力电子装置的实时仿真研究已经展开[1,2], 但这些实时仿真将电力电子器件视为理想开关, 这种简化固然不影响系统级的仿真精度, 但并不能预测电力电子器件的工作特性。而电力电子器件的电压尖峰、电流尖峰、开关损耗等工作特性非常突出, 这些问题恰恰是威胁电力电子器件乃至电力系统可靠性的重要因素。绝缘栅双极型晶体管 (insulated gate bipolar transistor, IGBT) 具有驱动功率小、开关速度快、导通电流大、功率损耗小等优点, 是目前技术成熟、应用广泛的电力电子器件。本文以IGBT构成电力电子电路的基本开关单元为例, 进行电力电子器件的实时仿真研究。

电力电子器件的模型有物理模型[3,4]和功能模型[5,6,7]2种。物理模型在物理本质上揭示载流子的运动规律, 模型精度较高, 但大多数生产商并不提供器件的物理参数, 且仿真速度慢, 不适用于实时仿真。功能模型将器件内部视为一个“黑匣子”, 按照仿真功能的需要从元件的外部电特性出发构造模型, 虽然精度不如物理模型高, 但参数易提取, 仿真速度快, 适合用于实时仿真。

目前, 国内外学者采用不同的方法对电力电子器件开展了功能模型研究。文献[5]采用线性化的方法, 但没有考虑器件开关过程中的电压尖峰和电流尖峰。文献[6]采用分段解析函数的方法, 但将续流二极管的反向恢复电流峰值视为恒定值。文献[7]采用实测数据的方法, 但对于不同型号的器件, 需要搭建不同的实验电路。本文根据IGBT和电力二极管的开关特性和换流过程, 建立IGBT和电力二极管的换流模型, 从器件数据手册获取参数, 采用分段插值的方法, 建立IGBT的实时仿真功能模型, 参数易于获取, 并且能够在很大的电压和电流范围内对电力电子器件开关过程中的关键指标进行仿真。

1 电力电子器件功能模型原理

文献[8]提出用替代定理来说明功能模型, 用电压源或电流源替代电力电子器件, 并提出了电压源和电流源的选取原则和确定方法:选取的电压源和电流源必须独立, 且选为电压源时需保证连续, 选为电流源时需保证导数连续。本文在建立电力电子器件的功能模型时也借鉴了这一思想, 并对文献[8]所建模型进行了改进, 去掉了IGBT杂散并联电容, 使模型更加便于仿真计算。

本文以IGBT构成电力电子电路的基本开关单元为研究对象, 建立IGBT和电力二极管的功能模型。基本开关单元如图1 (a) 所示, 包括一个IGBT、电力二极管、电压源和电流源, 其中, 电压源和电流源为电压源型电路和电流源型电路的替代, 图中:S为IGBT的驱动信号。在换流瞬间认为U和I保持不变, 在开关过程中IGBT的电流波形相对简单, 因此选为电流源;根据选取的电压源和电流源必须独立的原则, 电力二极管选为电压源;IGBT和电力二极管分别用受S控制的电流源和电压源替代;同时, 考虑了线路的杂散电感LS1, LS2, 等效电路如图1 (b) 所示。

列写基尔霍夫电压和电流方程, 有

式中:iC为IGBT的集电极电流;uR为二极管的反向电压;iF为二极管的正向电流;uCE为IGBT的集射极电压。

由式 (2) 解得, 二极管电流iF=I-iC, 代入式 (1) , 有

令LS=LS1+LS2, 则

等效电路进一步简化为图1 (c) , 解得IGBT栅射极间电压为:

可见, 只要给出受控的电流iC和电压uR, 便可以求得iF和uCE。

下面分别从IGBT开通和关断2个过程, 采用分段插值的方法建立基本开关单元的器件模型。其基本思想是:假设已知开关过程的电压、电流波形上的一些关键点, 如起始点、极值点、拐点、不可导点, 然后用简单解析函数分段描述开关电压电流波形。

2 基本开关单元功能模型

基本开关单元的开关过程分为IGBT的开通过程和关断过程, 其功能模型从IGBT的开通和关断2个过程分别建立。

2.1 IGBT开通过程模型

图2为IGBT的开通过程模型。在t=t0 (on) 时刻驱动IGBT开通。IGBT的开通过程也是电力二极管的关断过程, 由于电力二极管反向恢复过程的存在, IGBT的集电极电流iC在开通过程中会出现电流尖峰。IGBT的开通过程主要分为4个阶段:开通延迟阶段[t0 (on) , t1 (on) ]、电流上升阶段[t1 (on) , t2 (on) ]、反向恢复阶段1[t2 (on) , t3 (on) ]和反向恢复阶段2[t3 (on) , t5 (on) ]。

由图2可以得到部分阶段时刻的表达式为:

式中:trr为二极管反向恢复时间;kD为常数, 且0

下面对各阶段IGBT的集电极电流iC和电力二极管的反向电压uR进行插值。

1) 开通延迟阶段:IGBT仍处于关断状态, 电力二极管仍处于导通状态, 漏电流和通态压降数值较小, 予以忽略, 视为0, 即iC=0, uR=0。

2) 电流上升阶段:IGBT的集电极电流iC从0上升至其幅值I, 电力二极管的正向电流iF相应减小但仍然处于导通状态, uR=0。

根据电流导数连续的原则, IGBT的集电极电流iC用经过点1 (t1 (on) , 0) , 点2 (t0 (on) +td (on) , 0.1I) , 点3 (t0 (on) +td (on) +tr, 0.9I) 和点4 (t2 (on) , I) 并且在点1处的导数为0的二次函数进行插值, 得到:

式中:a1 (on) 为系数。

结合器件数据手册中IGBT开通延迟时间td (on) (从驱动电压uGE的前沿上升至其幅值的10%到集电极电流iC上升至其幅值的10%的时间) 和电流上升时间tr (iC从其幅值的10%上升至90%的时间) , 在建立基本开关单元的器件模型时, 认为驱动信号S电平变化的时间为0, 可以推导出系数a1 (on) 和阶段时刻t1 (on) 和t2 (on) , 即

代入式 (7) 有:

3) 反向恢复阶段1:IGBT电流由于电力二极管的反向恢复过程继续上升, 由其幅值I升至其峰值I+IRM, 但上升速率变慢, 电力二极管反向电压uR从0上升至其幅值U。IGBT电流用经过点4 (t2 (on) , I) 和点5 (t3 (on) , I+IRM) , 并在点5导数为0的二次函数进行插值, 得到:

为简化计算, 假定电力二极管反向恢复电流峰值IRM与正向电流I呈比例关系, 即, 则由电力二极管在额定电流IFN下的反向恢复电流峰值IRMN, 可以得出。

IGBT电流可以进一步表示为:

电力二极管反向电压uR用经过点8 (t2 (on) , 0) 和点9 (t3 (on) , U) 的一次函数进行插值, 得到:

4) 反向恢复阶段2:IGBT集电极电流iC随电力二极管反向恢复电流的下降而由其峰值I+IRM下降至其幅值I, 由于线路杂散电感的存在, 电感两端将感应出反向压降, 并与电压源U一起作用于电力二极管上, 在二极管两端产生电压尖峰。遵循电流连续的原则, IGBT电流分两段, 即[t3 (on) , t4 (on) ]和[t4 (on) , t5 (on) ], 用经过点5 (t3 (on) , I+IRM) , 点6 (t4 (on) , I+IRM/2) 和点7 (t5 (on) , I) 并且在点5和点7导数为0的二次函数进行插值。其中t4 (on) =t3 (on) + (1-kD) trr/2。

在[t3 (on) , t4 (on) ]上的插值函数为:

在[t4 (on) , t5 (on) ]上的插值函数为:

二极管反向电压uR=U-LSdiC/dt, 则在[t3 (on) , t4 (on) ]上, 有

在[t4 (on) , t5 (on) ]上, 有

t5 (on) 之后, IGBT处于开通状态, 二极管处于关断状态, iC=I, uR=U。

2.2 IGBT关断过程模型

图3为IGBT关断过程模型。在t=t0 (off) 时刻驱动IGBT开通。IGBT的关断过程也是电力二极管的开通过程, 这个过程主要分为3个阶段:关断延迟阶段[0, t1 (off) ]、电压上升阶段[t1 (off) , t2 (off) ]和电流下降阶段[t2 (off) , t4 (off) ]。

由图3可以得出部分阶段时刻的表达式为:

式中:kud为常数, 且0

1) 关断延迟阶段:IGBT仍处于开通状态, 电力二极管仍处于关断状态, iC=I, uR=U。

2) 电压上升阶段:IGBT电压从0增大到其幅值U, 电力二极管反向电压相应减小并仍然关断, IGBT电流保持其幅值I不变, 即iC=I。

电力二极管反向电压用经过点3 (t1 (off) , U) 和点4 (t2 (off) , 0) 的一次函数进行插值, 得到:

3) 电流下降阶段:IGBT集电极电流iC从其幅值I下降至0, 电力二极管电流iF相应上升, 遵循电流导数连续的原则, IGBT电流分两段用二次函数进行插值。

在[t3 (off) , t4 (off) ] (t4 (off) =t3 (off) +1.5tf, 其中tf为电流下降时间, 集电极电流iC从其幅值的90%下降至10%所需时间) 上, 类比于开通过程IGBT电流上升阶段, 有

在[t2 (off) , t3 (off) ]上, 用经过点1 (t2 (off) , I) , 点2 (t3 (off) , 0.9I) 并在点1导数为0, 在点2导数与在[t3 (off) , t4 (off) ]上相等的二次函数进行插值, 得到:

忽略电力二极管正向恢复过程, 则电力二极管反向电压, uR=0。t4 (off) 之后, IGBT处于关断状态, 电力二极管处于开通状态, iC=0, uR=0。

综上所述, IGBT集电极电流iC和电力二极管反向电压uR的模型为:

式中:fi (on) (t) , fi (off) (t) , fu (on) (t) , fu (off) (t) , gi (on) (t) , gi (off) (t) 的具体取值见附录A。

可以看出, 该模型为工作电压U、工作电流I和时间t的函数, 只要给定U, I和驱动信号S发生电平跳变的时刻, 便可以确定IGBT的集电极电流iC和电力二极管的反向电压uR, 进而得出IGBT集射极间电压uCE和电力二极管的正向电流iF。iC用二次函数进行插值, uR用一次函数进行插值, 具有较快的计算速度, 可以满足实时仿真的要求。

3 仿真结果

在现场可编程门阵列 (field programmable gate array, FPGA) 中对模型进行了实时仿真, IGBT和电力二极管的型号为三菱公司的IGBT模块CM1200DC-34N, 杂散参数LS=100nH, 工作点U=850V, I=600 A, IGBT和电力二极管开关过程的仿真结果见附录A图A1。

IGBT模块的开关电压、电流得到以后, 可以通过积分运算获得开关损耗能量, 即

同样, 对850V电压下, IGBT的开通损耗Eon、关断损耗Eoff和电力二极管的反向恢复损耗Erec随电流的变化进行了仿真, 将仿真结果与数据手册所给的典型值[9]绘在一起, 如图4所示。

开关损耗仿真结果均能落在典型值附近。仿真结果表明, 本文IGBT和电力二极管的实时仿真能够反映电力电子器件开关过程中的电压、电流尖峰和开关损耗等关键指标。

4 结语

IGBT和电力二极管的实时仿真方法可以推广至其他电力电子器件, 如电力晶体管 (GTR) 、电力场效应晶体管 (MOSFET) 、集成门极换流晶闸管 (IGCT) 等。电力电子电路的基本开关单元通过组合可以构成电力系统中各种斩波电路和逆变电路[10]。因此, 本文IGBT和电力二极管的实时仿真方法能够实现电力系统各种斩波电路和逆变电路中电力电子器件的实时仿真。

附录见本刊网络版 (http://aeps.sgepri.sgcc.com.cn/aeps/ch/index.aspx) 。

摘要:电力电子器件在开关过程中的电压尖峰、电流尖峰以及功率损耗等问题是威胁电力电子器件乃至电力系统中电力电子装置可靠性的重要因素。文中以技术成熟、应用广泛的绝缘栅双极型晶体管 (IGBT) 构成电力电子电路的基本开关单元为例, 进行电力电子器件的实时仿真研究。根据电力电子器件的开关特性, 分析了电力电子器件间的换流过程, 建立电力电子器件的实时仿真功能模型, 并在现场可编程门阵列 (FPGA) 中实现电力电子器件的实时仿真。仿真结果能够反映电力电子器件开关过程中电压尖峰、电流尖峰以及功率损耗等关键指标。

关键词:电力电子器件,实时仿真,电压尖峰,电流尖峰,开关损耗

参考文献

[1]LUCA O, URRIZA I, BARRAGN L A, et al.Real-time FPGA-based hardware-in-the-loop simulation test bench applied to multiple-output power converters[J].IEEE Trans on Industry Applications, 2011, 47 (2) :853-860.

[2]杨达亮, 卢子广, 杭乃善.电力电子系统实时仿真综合平台及设计方法[J].电力自动化设备, 2011, 31 (10) :139-143.YANG Daliang, LU Ziguang, HANG Naishan.Design of integrated real-time simulation platform for power electronic system[J].Electric Power Automation Equipment, 2011, 31 (10) :139-143.

[3]LU Liqing, BRYANT A, HUDGINS J L, et al.Physics-based model of planar-gate IGBT including MOS side two-dimensional effects[J].IEEE Trans on Industry Applications, 2010, 46 (6) :2556-2567.

[4]杜明星, 魏克新.一种考虑IGBT基区载流子注入条件的物理模型[J].物理学报, 2011, 60 (10) :722-727.DU Mingxing, WEI Kexin.A physics-based model of insulated gate bipolar transistor with all free-carrier in-jection conditions in base region[J].Acta Physica Sinica, 2011, 60 (10) :722-727.

[5]PARMA G G, DINAVAHI V.Real-time digital hardware simulation of power electronics and drives[J].IEEE Trans on Power Delivery, 2007, 22 (2) :1235-1246.

[6]陈雨林, 许军, 马晓军, 等.绝缘栅双极晶体管 (IGBT) 的实时仿真研究[J].电气开关, 2010 (5) :21-24.CHEN Yulin, XU Jun, MA Xiaojun, et al.Study on real-time simulation of the insulated-gate bipolar tran-sistor (IGBT) [J].Electric Switchgear, 2010 (5) :21-24.

[7]MYAING A, DINAVAHI V.FPGA-based real-time emulation of power electronic systems with detailed representation of device characteristics[J].IEEE Trans on Industrial Electronics, 2011, 58 (1) :358-368.

[8]范子超.链式静止同步补偿器的混合数字仿真研究[D].北京:清华大学, 2007.

[9]Mitsubishi Electric.Cm1200dc-34ndatesheet[R].2005.

实时飞行仿真显示系统设计 篇4

随着技术的发展,仿真技术的应用在扩大。采用实物进行飞行实时测试需要多台元器件共同完成,且维护、扩展、操作、运输极不方便。通过飞行中的数据,直观地再现飞行状态。因此实时飞行仿真显示系统适用于研究开发、方案论证和设计阶段。本系统采用在工程应用领域内连续系统仿真的数学仿真方法,并模拟生成人的感觉环境的各种物理效应设备(包括视觉、动感等人能感知物理环境的模拟生成、显示系统)。为了适应在数字计算机上进行数学仿真,按变量(包括按时间)进行离散化处理,以及根据精度与计算速率要求选用适当算法,将原始数据模型变换为仿真数学模拟[1]。

实时飞行仿真显示系统具有仿真操纵系统、清晰的仿真显示画面、逼真度高的飞行动力学特性、连续的性能仿真、良好的人机交互界面,具有动态感和交互性的环境仿真。通过建立数学模拟、利用先进的虚拟和计算机仿真技术,仿真再现飞行显示系统画面,可以通过设置各种飞行参数和条件实施飞行模拟操作,可以通过CRT、打印机、绘图仪显示输出仿真结果。采用实时飞行仿真显示系统可以实时运行,也可以在非实时条件下运行。

1 实时飞行仿真显示系统设计方案

本系统采用分布式交互协同工作,以模块化的建模方法,仿真飞行显示系统画面。实时飞行仿真显示系统大体由数据采集处理中心模块、子系统模拟器模块、仿真显示器模块、飞行控制台模块组成,结构如图1所示。

子系统模拟器在网络终端提供各种飞行实时数据,它是通过键盘指令或文件的数据源,向数据采集处理中心提供所需数据;数据采集处理中心负责控制网络中的数据源,并且利用子系统模拟器的数据源,加载飞机运动方程,转化为飞行状态数据,向仿真显示器提供飞行数据;仿真显示器除了显示飞行数据及画面,还提供外部环境画面。子系统模拟器和显示系统模块在网络中通过点到点的方式连接到数据采集处理中心上,由该数据采集处理中心向目的终端传送信息。数据采集处理中心执行集中式通信控制策略,在此网络结构中任何两个终端要进行通信都必须经过数据采集处理中心。

由飞行控制台传来的操纵控制指令提供飞行输入数据,在飞行控制模块中,根据操纵指令和控制规律计算飞行状态,由起落架力和力矩模块负责计算飞机起飞和降落时在地面运动的力和相应的力矩,气动力/力矩模块计算气动系数和气动力/力矩;飞机六自由度运动方程模块解算飞机六自由度非线性全量运动方程,计算出姿态、位置、加速度和角速度等飞行状态信息,并将飞行状态信息在反馈给气动系数模块、起落架力和力矩模块,同时输出到实时飞行仿真显示系统的其他子系统。飞行仿真的主要功能是对飞行控制系统的输入作出反应,对飞机飞行状态进行仿真[2];解算飞机的六自由度非线性运动方程;并对环境变化、飞机本身的变化和飞行造成的影响进行仿真解算。

在Windows环境下,采用C++ Builder和OpenGL作为编程工具,运用面向对象的设计方法,开发实时飞行仿真显示系统。该系统按指定机种提供的真实图形仿真飞行画面,数据采集处理中心负责把画面显示数据存放在显示数据存储器中;仿真显示器负责从显示数据存储器中读取数据;仿真显示器定时调度数据画面,并对所有显示符号、线段、数字的静态及动态象素坐标进行实时计算,生成仿真飞行画面[3];仿真显示器实时接收解算数据采集处理中心所得数据,并将其作为仿真显示器的驱动数据,为充分保证飞行状态的准确性和连续性,对数据进行精度提取和高速插值计算。同时,采用融合消隐技术和抗锯齿算法解决飞行画面中抖动和旋转时出现的锯齿问题。

数据传输系统采用基于UDP传输协议的Socket编程技术,结合数据压缩和调度算法,解决网络编程时数据安全可靠传输的技术难题。为了提高数据传输的稳定性和实时性,数据采集处理中心同时开设多个端口,一些端口用于发送飞机的飞行数据,一些端口分别实时接收其他子系统模拟器发送的飞行数据,并用其作为仿真飞行显示的驱动数据。

实时飞行仿真显示系统的网络结构,体积小、耗费低,便于管理、维护、调试;控制简单,建网容易。通过构建飞行动力学模型,实现飞机的俯仰、滚转、偏航等飞行姿态的模拟,模拟逼真,实时性强。OpenGL 3D声音引擎仿真飞行过程中听到的主要环境音效和实现语音提示功能,OpenGL具有表现多通道三维位置音效的能力,主要是在来源物体、音效缓冲和收听者中编码。来源物体包含一个指向缓冲区的指标、声音的速度、位置和方向,以及声音的强度。通过采用OpenGL纹理控制,基于glut核心函数库编程、视点控制处理、数据传输,自主开发场景画面,实时动态渲染,运行效率高,场景模拟真实感强,实现了实时飞行仿真显示系统图形图像显示。

2 实时飞行仿真显示系统软件功能结构

数据采集处理中心有一个主线程和多个子线程。主线程负责终端的接入连接请求,然后创建一个子线程来处理这个终端网络连接。每个子线程按照既定的协议与数据采集处理中心通讯。在网络通讯中,当其中一个终端正在向数据采集处理中心发送数据块时,其它某个终端正在向数据采集处理中心请求这个数据块时,数据采集处理中心采用互斥的方法,将完全接收完整个数据块,然后才向请求的终端发送完整的数据块。终端向数据采集处理中心发送数据,数据采集处理中心保存这些数据,终端也可以向数据采集处理中心请求数据。所以每个终端有可能是很多数据的发送者或请求者。在同一时间内,飞行实时图形仿真系统可能有多个数据的发送和请求终端存在,数据的读写速度成为数据采集处理中心的重要性能指标。数据采集处理中心的采用消息列表的方式,提高数据的读取处理,为数据的可靠性提供保障[4]。

网络通讯采用MIL-STD-1553B 总线通讯规则[5],该标准确定了美国军用飞机数字式数据总线的各种要求。网络数据消息块包含有指令字、状态字、数据字。并相应设计了10种消息格式,即“信息传输格式”。在此系统设计中,增加了消息的生存时间字,用于记录消息的生存时间,判定消息是否应该驻留在系统中,还是该删除。当要求通信的终端发出通信请求后,数据采集处理中心查看被叫终端是否空闲,是否建立通路响应,从而决定是否能建立双方的物理连接。终端向数据采集处理中心请求数据时,先向数据采集处理中心询问数据是否存在,数据采集处理中心收到请求数据命令,在消息列表查询,如果请求的数据不存在,数据采集处理中心向请求数据的终端发送“失败”消息。如果请求的数据存在,数据采集处理中心向请求数据的终端发送“成功”消息,然后向请求的终端发送请求的数据。数据采集处理中心同时也处理从各个终端接收到的数据,向子系统模拟器模块、显示系统模块发送相应数据,仿真显示器从正视、后视、左视、右视等角度直观反映飞行状态。数据采集处理中心数据包格式如表1所示。

子系统模拟器提供实时飞行仿真显示系统必须的飞行用数据,其数据源读入有两种方式:一种为人工设置(分为静态和动态数据),另一种为原始飞行数据文件(文件流fstream读入飞行数据文件)。实时飞行仿真系统一般运动动力学方程组为:

{Fx=m(u˙-vr+wq)Fy=m(v˙-wp+ur)Fz=m(w˙-uq+vp)

{L=p˙Ιx-r˙Ιxz+qr(Ιz-Ιy)-pqΙxzΜ=q˙Ιy+pr(Ιx-Ιz)+(p2-r2)ΙxzΝ=r˙Ιz-p˙Ιxz+pq(Ιy-Ιx)+qrΙxz

Fx,Fy,Fz;u,v,w;p,q,r为作用在飞机上的所有外力的和F,飞机的质心速度v,质心转动的角速度w在机体坐标系三个坐标轴Ox,Oy,Oz上的分量;Ix,Iy,Iz为转动惯量。

动力学方程组为力和力矩方程,利用坐标转换关系计算飞机相对于地面固定坐标系的方程和飞行轨迹运动学方程如下:

{dxdt=cosψcosθu+(-sinψcosϕ+cosψsinθsinϕ)v+(sinψsinϕ+cosψsinθcosϕ)wdydt=sinψcosθu+(cosψcosϕ+sinψsinθsinϕ)v-(cosψsinϕ-sinψsinθcosϕ)wdzdt=-sinθu+cosθsinϕv+cosθcosϕwψ----

θ----俯仰角

ϕ----滚转角

{p=ϕ¯-ψ¯sinθq=θ¯cosϕ+ψ¯cosθsinϕr=-θ¯sinϕ+ψ¯cosθcosϕ

仿真显示器需要使用一种计算能力很强的计算机语言对其数据进行处理,仿真显示飞行画面。OpenGL提供了数百个库函数,可以方便地绘制具有真实感的3D图形。图形的绘制只是一部分工作,更多的工作集中在场景数据结构、图形对象、三维交互和图形用户界面的设计上[6]。OpenGL与窗口系统无关,不提供任何交互手段,必须由程序员自己编写所有的交互功能。它的大部分指令是立即接口操作方式,说明对象可以马上进行硬件操作[7]。本系统在仿真显示器图形设计时,定义了视场局部坐标系以及相应的图形变换。采用最小单元法,其中基础对象定义了矢量、矩阵运算;常用几何图元的绘制(线、圆、刻度带等),图形函数将数据转化为几何模型绘制出来,使用继承方法扩充新的几何图元;点阵中文字体用于可视化图形的标注。该系统设计中汉字的形状复杂多变,所以显示汉字较难,采用汉字显示中的线性列表方法,先由CreateFontIndirect函数创建需要的中文字体、然后判断每一个字符是否为双字节,如果是就多处理一个字节,创建中文显示列表、运用投影矩阵和模型变换矩阵显示需要的文字。

实时飞行仿真显示系统显示画面具有固定的视场范围[8],根据显示器画面的视场范围,计算实时飞行仿真显示系统的仿真显示器像素换算比例K,用以确定元素在仿真显示器中的位置。

Κ=2×180×3.14×1000

环境、动画场景的实时处理,是将图像存储在帧缓存中,帧缓存是多个显示缓存的逻辑集合,通过双缓存技术完成环境、动画场景的实时显示。环境形态的变化、位置的变化以及颜色的变化通过网络数据及程序的定时器控制。图形显示工作流程如图3所示。

C++ Builder具有快速的可视化开发环境,内置了100多个完全封装了Windows公用特性且具有完全可扩展性的可重用控件[9]。利用相关控件组建外部接口模块、操作模块。外部接口主要完成人机界面的设计,为使用者提供有好方便的操作平台。

图形创建首先检索指定窗口的客户区域环境的句柄Picture_hdc=GetDC(PanePicture->Handle);再创建一个新的OpenGL渲染描述表,此描述表适用于绘制到由Picture_hdc返回的设备,这个渲染描述表将有和设备上下文一样的像素格式Picture_hrc=WglCreateContext(Picture_hdc);然后设定OpenGL当前线程(线程相关性)的渲染环境,以后这个线程所有的OpenGL调用都是在这个Picture_hdc标识的设备上绘制wglMakeCurrent(Picture_hdc,Picture_hrc)。

仿真显示器画面坐标系根据国家标准规定的坐标轴系定义,实时飞行仿真显示系统画面的生成采用光栅扫描法。与真实飞行显示系统相比,某检测画面如下:图4为某一真实显示器的画面,图5为仿真显示器的画面。经检测证明:实时飞行仿真显示系统能满足飞行模拟使用,数据显示准确,画面清晰、正确,适合飞行仿真试验研究。

3 结 语

本文介绍了采用虚拟仪器技术研制实时飞行仿真显示系统,提出了软件实现实时飞行仿真显示系统的设计方法,从而有效地提高了飞行器研究开发、方案论证和设计方法。由于实时飞行仿真显示系统软件的易操作、易维护,更好地弥补了硬件带来的缺陷。

参考文献

[1]卢惠民.飞行仿真数学建模与实践[M].北京:航空工业出版社,2007.

[2]张刘,金光,郑亮亮.飞行姿态模拟器建模及输入受限混杂控制[J].光学精密工程,2009,17(7):1561-1569.

[3]夏拥军.工程飞行模拟器的仿真平显[J].测控技术,1996,15(2):38-39.

[4]贾容珍,林胜,王行任.飞行模拟器数据预处理及函数生成[J].测控技术,1996,15(6):54-56.

[5]宾辰忠,苗克坚.基于1553B总线的实时消息流实现与控制[J].计算机测量与控制,2005,13(9):967-969.

[6]卞泳锋,张兴周,韩亮.基于OpenGL的空战三维可视化仿真系统[J].应用科技,2007,34(11):46-50.

[7]郭兆荣,李菁,王彦.Visual C++OpenGL应用程序开发[M].北京:人民邮电出版社,2006.

[8]李娟,边信黔,夏国清,等.舰载机飞行仿真可视化研究[J].计算机仿真,2008,25(2):294-298.

红外相机数据高速实时存储技术 篇5

在航天航空技术领域,经常需要采集大容量高精度的红外图像信息,对于有些信息专业人员无法现场进行数据处理,只能先存储备份,然后读取分析采集到的图像数据并还原显示在计算机上。由于图像传输速度快、容量大,而Flash的存储速度一般不超过40 Mbyte/s,本文充分利用乒乓缓存的思想对高速数据流进行实时无缝缓存,避免了图像快速传输过程中的丢数现象,利用流水线技术写Flash,解决了Flash写入速度的限制。与传统的存储系统相比,该系统具有存储速度快、可靠性强、稳定性高、适用范围广等优点。

1 系统组成及设计框架

系统采用Altera公司Cyclone II系列EP2C35F484C6芯片作为主要控制核心[1],红外相机捕捉到的视频图像信号通过LVDS接口电路进入解码模块,经FPGA处理过的图像数据通过乒乓结构实时无缝缓存,最后用流水线写Flash技术将图像数据存储在NAND Flash闪存阵列。存储的图像数据在需要时可用分析软件通过FT245控制的USB 2.0接口读出并将图像显示在计算机上,系统组成框图如图1所示。

1.1 图像解码模块

本系统采用National公司差分接收芯片DS90CR216作为视频解码芯片,经LVDS接口电路解码后的信号主要有帧同步信号(vsync)、行同步信号(hsync)、像素时钟(mclk)以及8位数据,其中,像素时钟为29.5 MHz,行同步周期为64μs,高电平有效,每行有384个有效像素,帧同步周期为20 ms,高电平有效,每帧有289行有效数据,图像数据在时钟上升沿变化,因此选择2片128 kbyte的SRAM即可满足要求。解码后图像信号时序如图2所示。

1.2 数据无缝缓存设计流程

乒乓缓冲技术是FPGA设计中最常用的一种数据缓冲方法,其最大的特点是能实现实时无缝缓冲与节约缓冲区空间[2]。

本系统每秒传输50帧图像,每帧期间帧同步高电平时间约为18.5 ms,低电平时间约为1.5 ms,如果在帧同步低电平1.5 ms的时间内从SRAM中将数据转存至Flash,会产生丢数。因此,为了给数据处理单元赢得更多的处理时间[3],采用的乒乓缓冲模块工作流程为:当帧同步信号为高电平时,将经过FPGA处理过的图像数据写入SRAM1,同时地址计数器1开始递加计数,此时地址计数器的值一方面为FPGA数据处理模块提供触发参考,另一方面也为缓存空间提供了参考。当帧同步为低电平时,FPGA控制乒乓缓存逻辑切换总线开关,开始选择SRAM2为写空间,将SRAM1切换为读空间以读取缓存在SRAM1中的图像数据,此时地址计数器1开始递减计数,当SRAM1中的数据全部读出后,地址计数器1计数到0。当帧同步信号再次变高后,将图像数据写入SRAM2,地址计数器2递加计数,等待帧同步为低电平时,切换读写信号,选择SRAM2为读空间,SRAM1为写空间,开始读取SRAM2中的数据同时地址计数器2的值开始递减计数,同样当SRAM2中的数据全部读出后,地址计数器2计数到0。待帧同步又一次为高电平时,将图像数据写入SRAM1中,地址计数器1开始递加计数。如此循环切换读写空间,将缓存在2片SRAM中的数据读出并存入Flash中。乒乓操作读写时序如图3所示。

2 Flash阵列流水线存储流程

2.1 芯片简介

选用三星公司容量为1 Gbyte的闪存K9K8G08U0A,它由8 192个存储块组成,每个存储块分为64页,每页容量为2 kbyte,其外部有8个I/O引脚和6个信号管脚,而这8个I/O脚既是命令和地址的输入引脚,也是数据的输入输出端口。该闪存是一种高密度非易失性的存储器,主要特点为:1)读、写(编程)操作均以页为单位,擦除以块为单位。2)读取每页时间为20μs,页编程的典型时间是200μs,块擦除的典型时间是1.5 ms。3)页寄存器写入最低时间是50μs,页编程的最大时间是700μs,块擦除的最大时间是2 ms。由于每次进行页编程之前都需要写页地址,不难计算出写一页所需时间为250~750μs,写入的速度约2.600 0~7.812 5 Mbyte/s。实验证明,页编程的时间正是限制Flash存储速度的关键因素,因此在对其进行页编程操作时采用流水线技术来实现高速大容量Flash的存储[4]。

2.2 Flash存储设计流程

本系统所采用的流水线技术主要分为3个步骤实现:1)向Flash加载编程指令、地址以及数据;2)待加载完成之后,Flash芯片会自动执行将载入到页数据寄存器的数据写到内部存储单元的编程操作[5];3)当自动编程结束后,由FPGA下发“70h”读状态指令以检测Flash的I/O口最低位是否为“0”,如果是,表示编程成功,页计数器递加并继续对下一页编程,当页计数器从0加到63后,页计数器清0,块计数器加1,继续进行页编程操作,当块计数器递加至8 192块时,停止对Flash的页编程操作,等待上位机的读Flash指令。流水线存储的示意图如图4所示。

由于每次对Flash加载数据和地址后总是有大概200μs以上的时间花费在芯片编程上,所以可用多片1 Gbyte的Flash组成流水线工作模式:在第1片加载完成执行编程时可以加载第2片Flash,待第2片Flash编程时又加载第3片Flash,依此操作执行,实现时间的复用,缩短了Flash阵列的有效页编程时间,从而提高了写Flash的整体速度。如果工程项目要求写Flash的速度应为60 Mbyte/s,用5片Flash组成流水线操作模式,那么每片的速度应为12 Mbyte/s,经计算加载一页数据到Flash寄存器的时间大概为162.76μs,而700μs÷162.76μs≈4.3,所以采用5级本系统的流水线技术是可以满足设计要求的。

3 实验结果

本系统经多次实际存储红外相机捕捉视频图像的实验,用读数软件从Flash中将数据读取出来后再经过Matlab处理还原,验证了系统的可行性和可靠性。图5为捕捉到的一张男士挥手的红外图。

4 小结

实验结果表明,本系统具有以下优点:1)以FPGA为逻辑控制核心,采用乒乓缓存模块将红外相机下发的高速大容量数据进行缓存能避免因传输速度太快而出现数据丢帧的现象。采用流水线阵列存储,打破了传统存储方式下Flash存储速度不能超过40 Mbyte/s的束缚,实现了高速大容量存储技术的突破。2)系统占用体积小,成本低,硬件电路简单,调试方便,能完成大量视频数据的无缝缓存和实时存储。3)为高速大容量数据可靠记录、高速实时准确存储提供了有效的技术方案,并在多次实际应用中以其稳定、可靠的性能得到广泛的应用。

摘要:介绍了一种高速大容量固态存储器的组成机制和存储技术,以FPGA为逻辑控制单元,通过LVDS接口电路将红外相机采集到的图像数据经过乒乓结构实时无缝缓存,利用流水线写Flash技术提高了Flash写入的速度,可通过扩展Flash阵列来满足速度更高容量更大的存储要求。可用FT245控制的USB2.0接口读取Flash中的数据并上传至计算机,最后用分析软件可以清晰看到拍摄结果。结果表明,该系统稳定可靠地存储了高速传输的图像数据,具有较强的可行性和实用性。

关键词:现场可编程门阵列,乒乓结构,流水线,USB2.0

参考文献

[1]宋海吒,唐立军,谢新辉.基于FPGA和OV7620的图像采集及VGA显示[J].电视技术,2011,35(5):45-47.

[2]姚远,李辰.FPGA应用开发入门与典型实例:修正版[M].北京:人民邮电出版社,2010.

[3]谭树人,张茂军,徐伟.多传感器同步图像采集系统的设计[J].电视技术,2006,30(9):84-87.

[4]杨海涛,苏涛.基于FPGA的高速大容量固态存储设备设计[J].国外电子元器件,2007(5):68-72.

高速乒乓缓存的实时跟踪系统 篇6

随着光电设备在各领域的运用, 对目标检测和识别的任务的需求越来越多。近些年来, 对目标进行稳定和实时的跟踪成为研究的重点。本文系统是基于高速乒乓缓存的硬件平台, 该乒乓缓存构架广泛运用于数据量大且对实时性要求高的数字图像处理系统中, 它能够较快较合理的完成数据的转存和搬运工作, 结合基于kalman滤波的形心跟踪算法, 完成目标的跟踪设计。

2 系统的硬件设计

对于一个视频流的处理平台需要有输入、输出以及中间过程处理三部分组成, 由于本文这里需要进行大数据量的数字图像处理, 采用乒乓缓存结构, 系统结构如图1 所示:整个构架可以很好的解决大数据量的缓存处理, 适合对实时性要求较高的目标跟踪系统。

3 软件设计

3.1 Kalman滤波

Kalman滤波是一种针对动态系统的状态序列进行线性最小方差估计的算法, 通过状态方程和观测方程来描述一个动态系统。定义状态方程和观测方程如下式:

其中, S (t) 是t时刻的系统状态, F和H都为系统参数, Z (t) 是t时刻的测量值, W (t) 和 η (t) 表示测量和过程噪声。Kalman滤波就是通过上述公式求出最优解的方法。在跟踪算法中, 可以将目标坐标带入状态方程, 预测下一帧的目标坐标。

3.2 形心跟踪

首先形心的定义即, 把目标图像看成是一块密度均匀的薄板, 求取其重心即为目标图像的形心。由于其抗干扰能力强, 所以求取形心的跟踪算法被普遍用于目标跟踪系统中。形心的定义为:

3.3 算法实现

本文软件算法实现过程首先通过坐标的移动圈定第一帧目标的区域, 然后在该区域中采用上述的形心跟踪算法确定目标的坐标, 采用Kalman滤波预测下一帧目标可能的坐标位置, 在该位置的一定范围内再采用上述形心跟踪算法求取该帧的形心坐标, 即为目标的位置, 以此类推, 完成整个实时跟踪算法。通过Kalman滤波对移动目标位置的预测和形心的求取算法, 可以比较好的保持跟踪的稳定性, 很好的完成了实时跟踪的目的。

4 实验验证

通过一系列的实验验证本文跟踪系统的稳定性和实时性。下图验证实验采用两个不同场景图, 视频尺寸是728×576, 帧频为50HZ, 场景1 为对亮点目标的跟踪效果图, 场景2 为对目标 (手) 系列跟踪效果图, 从这些对比可以看出本文的跟踪系统能够很好的完成对动目标的跟踪, 具有一定得稳定性, 同时通过DSP调用计数器记录整个处理时间小于20ms满足系统的实时性的要求, 如图2。

5 结论

本文利用高速乒乓缓存的硬件平台, 结合Kalman滤波和形心跟踪算法构建了目标的实时跟踪系统, 通过实验验证了系统目标跟踪的稳定性和实时性, 并且这一系统也在产品中得到运用。

摘要:本文提出了基于高速乒乓缓存的实时目标跟踪系统。该跟踪系统硬件平台的核心由高速DSP和FPGA构成乒乓缓存结构, 在该硬件平台上加入基于kalman滤波的形心跟踪算法结合DSP编程的优化设计, 完成对移动目标的跟踪。通过一系列的实验验证了本文提出的高速乒乓缓存的实时跟踪系统在目标的跟踪中具有一定的实时性和稳定性。

关键词:乒乓缓存,Kalman滤波,形心跟踪

参考文献

[1]李武森, 迟泽英, 陈文建.高速DSP图像处理系统中的乒乓缓存结构研究[J].光电子技术与信息, 2005, 18 (3) :76-79.

[2]张贝贝, 肖国强, 江健民.基于运动估计的Kalman滤波视频对象跟踪[J].计算机应用, 2008, 28 (8) :2052-2054.

高速实时仿真 篇7

随着越来越多的分布式电源并入电力系统,其对电力系统的影响也日益严重[1]。为保证电力系统安全运行,研究用于测试和验证各种电力控制设备的含分布式电源的电力系统实时仿真平台是十分必要的。

在分布式发电并网设备中,电力电子设备的开关频率通常在几千赫兹到几十万赫兹。为使电磁暂态仿真较精确地模拟电力电子设备,要求其仿真步长小至微秒级,甚至亚微秒级。但是,如果对整个电力系统都采用小步长进行电磁暂态仿真,其仿真规模受到很大限制。为解决这个问题,通常采用多速率实时仿真。

文献[2]最早将求微分方程的多速率方法应用于电力系统仿真领域,并给出了具体计算流程。在此基础上,变量分组的多速率仿真方法在电力系统仿真领域取得了许多实质性进展。文献[3]阐述了电力系统微分方程和代数方程的多速率求解方法,给出了计算快慢变量步长比例的实用公式。文献[4-5]提出了用于电力系统稳定性分析的多速率仿真方法,给出了自适应的变量分组策略。然而,组之间存在着大量数据交互,这种方法不易实现多机联合仿真。

除此以外,也可直接根据物理特性将电力系统分解为多个子系统来实现多速率仿真。文献[6]在多区戴维南等效方法的基础上提出了一种电磁暂态过程的多速率仿真算法,给出了快慢系统分区联立求解方法。文献[7]针对含有电力电子设备的电力系统提出双时步交替混合仿真算法,采用外推—插值—平滑的算法进行接口电气量交换。文献[8]将延迟插入方法(LIM)引入电磁暂态仿真,证明了其稳定条件,并提出快慢系统交替求解的多速率算法。但是,这些串行的多速率方法难以保证小步长仿真的实时性。

文献[9]提出了并行的多速率电磁暂态仿真算法,利用传输线模型的自然延迟特性实现快慢系统之间并行计算。实时数字仿真器(RTDS)利用传输线原理,用“接口变压器”将分布式发电系统从大电网中独立出来,但牺牲了部分仿真精度[10]。

针对含电力电子设备的电力系统实时仿真,Opal-RT公司的RT-LAB和RTDS公司的RTDS等商业实时仿真装置提供了小步长仿真功能。最新的RT-LAB和RTDS中模块化多电平换流器的仿真步长可达亚微秒级[11,12]。

随着现场可编程门阵列(FPGA)技术不断成熟,越来越多的学者开始利用FPGA研发含电力电子设备的电力系统实时仿真系统,其仿真步长能够达到几百纳秒甚至几十纳秒[13,14,15]。相比于RT-LAB和RTDS的小步长仿真,这种方式编程灵活,且具有成本优势。

利用RTDS良好的通用性和FPGA高度的并行性,本文研究了一种基于RTDS和FPGA联合仿真平台的多速率仿真方法,以实现含分布式电源的电力系统实时仿真。为将整个电力系统分解成多个可独立求解的子系统,用LIM求解滤波电路和隔离变压器电气方程,并用拟合法和外插法确定并行多速率下的接口电气量。为减小通信延时对仿真精度的影响,提出了一种以尽早使用对方仿真数据为原则的接口电气量交互方法。为提高FPGA承担的分布式发电系统的仿真速度,引入L/C小步长开关模型[15],采用导纳逆矩阵仿真方法,并通过硬件描述语言(HDL)Verilog来开发。

1 联合仿真平台

在RTDS与FPGA组成的联合仿真平台中,RTDS承担大电网系统仿真任务,FPGA承担分布式发电系统仿真任务。

RTDS的运算部件采用PB5板卡。PB5板卡有多个小型可插拔(SFP)光电收发接口,支持与其他板卡或外部设备的数据交互。

FPGA采用含SFP光电收发接口的Xilinx VC707开发板,其核心芯片XC7VX485T拥有48.5万个逻辑单元、3 700万块随机存储器(RAM)和2 800个数字信号处理器(DSP)等。

用传输速率为2Gbit/s的光纤连接RTDS和FPGA的SFP光电收发接口,并采用点到点的高速串行传输的Aurora协议进行数据通信。在此基础上,以RTDS公司提供的RTDS_InterfaceModule进行数据交互。经测试,传输64个32bit的通信延时在2μs之内。

2 基于局部LIM的网络求解

LIM最初应用于印刷电路板的电路仿真[16]。它将支路电流i的仿真时间结点相对于节点电压u的仿真时间结点迟半个步长。

分布式电源(如光伏电池、微型燃气轮机、燃料电池等)通常经换流装置、滤波电路、隔离变压器与大电网系统连接。为了方便地分析这种含分布式电源的电力系统,把分布式电源和换流装置部分称为分布式发电系统,如图1所示。本文对图1虚线框内的滤波电路和隔离变压器实施LIM算法,并把这部分电路称为局部LIM电路。

将局部LIM电路中电容C两端电压uc与分布式发电系统相连的接口电压uA和与大电网系统相连的接口电压uB按…,n-2,n-1,n,n+1,n+2,…时间结点进行仿真计算,LA支路电流iA和LB支路电流iB按…,n-1/2-1,n-1/2,n+1/2,n+1/2+1,…时间结点进行仿真计算。

列出局部LIM电路中回路Ⅰ和Ⅱ的电压方程:

整理后可得

式中:L1=LB+ΔtRB+ΔtRC;L2=LA+ΔtRA+ΔtRC;;Δt为仿真步长。

列出局部LIM电路中节点D的电流方程:

整理后可得:

由于iA和iB的计算相对于uA和uB的计算存在半个步长的延迟,故在求解局部LIM电路时,可将两侧系统等效成2个理想电压源;求解两侧系统电气量时,可将局部LIM电路中电感支路的电流等效成理想电流源,如图2所示。

两侧系统的电气量求解可利用节点电压法或状态变量法。为方便表示,这里采用状态变量法。设分布式发电系统的状态变量为xA、大电网系统的状态变量为xB,两侧系统的状态变量方程和输出方程为:

式中:CA,DA,DA1,EA,FA,FA1,CB,DB,DB1,EB,FB和FB1为系数矩阵;ISA和ISB分别为分布式发电系统和大电网系统内部的电流源。

因此,整个网络的求解分为2步:(1)计算局部LIM电路的uc和2个网络的电气量,即计算式(6)至式(8);(2)更新局部LIM电路的电感支路电流,即计算式(3)和式(4)。

3 多速率交互方法

3.1 同步交互

设图2中的大电网系统和分布式发电系统的仿真步长为ΔT与Δt,且ΔT与Δt之比为M(M为正整数)。

由于分布式发电系统中换流装置的交流侧电压会随着功率开关动作发生大幅度的变化,故将局部LIM电路采用小步长仿真。当大步长仿真时间结点为Mi(i为正整数),小步长仿真时间结点为Mi+j(0≤j<M)时,多速率仿真的交互电气量及其仿真时间结点如图3所示。

于是,将式(3)至式(8)分别改写为:

由前面分析可知,大步长仿真侧的接口电压uBs需发送给小步长仿真侧,小步长仿真侧的接口电流iB需发送给大步长仿真侧。为降低FPGA与RTDS的通信开销,在一个ΔT内仅交互一次,且规定交互时间结点为仿真时间结点Mi。

大步长仿真侧没有直接提供小步长仿真侧所需的uMi+jB。同样,小步长仿真侧也没有直接提供大步长仿真侧所需的iM(i+1/2)Bs。当直接用uMiBs替代uMi+jB和用iMiB替代iM(i+1/2)Bs时,式(10)和式(13)的计算误差会增大,甚至造成不稳定计算的发生[8]。因此,根据大步长仿真侧的uMiBs和uM(i-1)Bs,采用外插法估算uMi+jB,即

根据仿真时间结点Mi至M(i-1)的iBMi-1/2,iBMi-3/2,…,iBM(i-1)+1/2,利用最小二乘法拟合一条直线,且视直线上仿真时间结点为M(i+1/2)的电流值为iBsM(i+1/2),即

式中:向量S中各元素sl为

3.2 异步交互

式(14)和式(15)是在不考虑通信延时的理想同步交互条件下给出的。传统的解决方法[17]是使用更前交互时间结点的交互电气量,即式(14)和式(15)中等号右边的i用i-1代替。当ΔT较大时,这种同步方法会造成更大的计算误差,计算不稳定问题很容易发生。

本文以RTDS的仿真时间结点作为参考点,分别以小步长仿真尽可能早地使用大步长仿真的接口信息和尽可能晚地发送本地的接口信息为原则,确定FPGA的接收时间点和发送时间点。这种考虑最大通信延迟(包括通信数据的整理时间)的接口电气量异步交互时序如图4所示。

图中:h1为大步长仿真数据发送至小步长系统延迟的Δt个数;h2为小步长仿真数据发送至大步长系统延迟的Δt个数。由于大步长仿真的接口信息延后到达h1个Δt,估算uBMi+j的式(14)改为:

同样,由于小步长仿真的接口信息提前发送h2个Δt,估算iBsM(i+1/2)的式(15)改为:

在本文所提的联合仿真平台条件下,当式(16)和式(17)的计算由小步长仿真侧完成,且式(17)的计算分散到每个小步长内时,h1和h2远小于M,uBMi+j和iBsM(i+1/2)的估算值与式(14)和式(15)的计算结果相近。因此,这种异步交互方法能最大限度地降低通信延时对仿真精度的影响。

4 仿真实例

在FPGA上搭建2个典型的光伏发电系统[18],每个系统的电气结构和控制结构均相同,如图5所示。其中,光伏电源采用光生电流源、二极管和光伏电池损耗电阻组成的单二极管等效电路模型,光生电流源和二极管电流与光照强度、温度有关并呈强非线性[15]。

为提高计算速度,采用分段线性法将单二极管等效电路模型等效为一个诺顿电路。为提高计算并行性,采用导纳矩阵逆矩阵与注入电流向量相乘的方法求解节点电压方程。为减轻逆矩阵的存储压力,功率开关采用L/C小步长开关模型,开关闭合时使用5.5μH的电感模拟,开关断开时使用50nF的电容串联1Ω的电阻模拟。根据换流装置交流侧电压和RTDS给出的大电网等效电压源,参照式(9)至式(11)计算滤波电路、隔离变压器的电压和电流。DC/DC变换器开关管采用最大功率点跟踪(MPPT)策略控制,开关频率为100kHz;DC/AC逆变器开关管采用恒直流电压恒无功功率策略控制,开关频率为10 kHz,直流电压参考值设为800V,无功参考值设为0。由于控制系统的时间常数较电子开关周期长得多,在电气系统与控制系统之间,以及控制系统内部反馈点增加延迟环节,以提高仿真计算速度[19]。

在RTDS上搭建一个IEEE 5节点电力系统,如图6所示。图中,母线1上的负荷A和母线3上的负荷D是图5中的光伏发电系统(简称光伏发电系统A,光伏发电系统D)。2台同步发电机采用RTDS_SHARC_MACM2模块,其机械功率都是30 MW,励磁电压设为常数。2台升压变压器采用RTDS_SHARC_TRF3P2W模块,其分接头位置保持不变,不考虑励磁饱和。为了模拟不同的运行方式和短路故障,在所有线路两端和变压器两侧设置断路器,在各母线处设置接地故障模型。

仿真步长的选取除考虑实际需要,还应保证联合仿真的数值稳定性。经状态转移矩阵法[8]估计,在RTDS仿真步长为50μs、FPGA仿真步长为1μs和通信时间为3μs的条件下,图5和图6所示的实时仿真系统具有计算稳定性。为了验证实时仿真系统的可行性和仿真准确性,不采用局部LIM网络分块技术和多速率实时仿真技术,在离线仿真软件PSCAD上搭建相同参数的仿真模型,仿真步长设为1μs。

在电力系统稳定运行情况下,在母线1处设置三相接地短路故障,并经0.1s后故障切除。该实验分别在PSCAD仿真平台,通信延迟为3μs和50μs的联合实时仿真平台上进行,其实验结果如图7所示。

从这些实验数据可以看出,短路故障对光伏发电系统A的影响更大,其变流装置直流侧电压和注入电网电流在短路时发生了严重的畸变,但短路电流并不是很大。这恰好说明了光伏电源的I-V特性、DC/DC的最大功率策略、DC/AC的恒直流电压恒无功功率策略。

为观测多速率方法和通信延迟对仿真精度的影响,将图7进行局部放大。从放大图可以看出,故障时的3μs通信延时的实时仿真波形与PSCAD仿真波形的误差在10%以内,仍具有一定的仿真精度。其误差产生的主要原因是:对于光伏发电系统,FPGA采用了L/C小步长开关模型,而PSCAD采用了理想开关模型;对于IEEE 5节点电力系统,RTDS采用了50μs仿真步长,而PSCAD采用了1μs仿真步长。从图7中还可看出,所提多速率方法对仿真精度影响随着通信延迟的增加而变坏,其原因是50μs仿真步长足够反映大电网系统的电磁暂态过程,通信延迟已成为影响仿真精度的主要因素。

5 结论

1)采用局部的LIM可方便地实现网络分割,利用拟合法和外插法可实现多速率并行计算,为建立联合实时仿真平台奠定了理论基础。

2)以直接交换数字量的SFP光纤作为联合实时仿真平台的通信媒介,并采用异步交互方法传递接口电气量,提高了联合实时仿真平台的仿真精度。

【高速实时仿真】推荐阅读:

实时高速09-06

实时计划05-10

实时检测05-21

实时跟踪05-24

实时联网05-28

实时诊断05-30

实时获取06-03

实时技术06-10

实时网络06-18

实时测控06-19

上一篇:基层人力资源下一篇:经皮腹腔穿刺置管引流