直流电压利用率

2024-06-22

直流电压利用率(精选7篇)

直流电压利用率 篇1

0 引言

柔性直流输电(HVDC Flexible)可实现有功功率和无功功率的解耦控制,其输电方式具有经济、灵活、高质量、高可控性的特点,在实际应用中的控制方式则更加丰富[1,2]。它不但可以将可再生能源发电和分布式电源接入电网,还在孤岛供电、城市电网供电、异步交流电网互联等领域具有广阔的应用前景[3]。为了保障柔性直流输电线路正常稳定地运行,必须及时查找和清除线路故障。因此,研究柔性直流输电线路故障定位具有理论意义与实用价值。

直流输电线路故障定位方法可分为故障分析法和行波法[4]。近年来很多学者对故障分析法作了深入研究:文献[5]给出一种直流输电线路的双端故障定位方法,该方法定位精度高、对采样频率要求低;文献[6]提出仅利用单端电气量的故障定位方法,但易受对端换流器调节作用的影响;文献[7]针对柔性直流输电线路提出一种利用电流固有频率的故障定位原理,取得了较好的效果。目前投入商业运行的直流线路故障定位方法仍以行波法为主[8,9,10,11,12]。行波故障定位通过标定2个行波波头之间的时间差来实现故障定位。该方法响应速度快且理论上不受线路类型、过渡电阻、两侧系统参数、故障类型等因素的影响,具有较高的测距精度。但它很难区分故障点处和对端母线处的反射波,需要人工介入识别行波波头,因此,很难实现故障定位的自动化。

鉴于目前直流输电线路故障定位研究现状,本文针对最为常见的两电平或三电平换流器结构的柔性直流输电线路,提出一种利用行波电压分布特征的自动故障定位方法,它在1模故障分量网络中标定本端第1次电压反射波及其前行路径上电压分布的最强正跳变点所处的位置实现故障定位。理论分析和仿真验证表明,该方法原理正确且具有较高的定位精度,可实现故障定位自动化。

1 柔性直流输电系统结构

图1所示即为柔性直流输电系统结构简图。图中j端代表整流侧,k端代表逆变侧。该系统采用电压源换流器的结构,明显的特征就是在两侧换流站并联很大的电容。

研究发现,并联电容的结构特征使得故障后短期内,两端的换流站在1模故障分量网络中可以近似等效为电容,进而得出电压行波具有在两端直流母线处全反射、故障点处反射弱以及反射改变极性、折射不改变极性的特点。以上是本文故障定位方法实现的基础,下面将从电容等效以及直流母线处、故障点处的折反射特征分别进行分析。

2 故障行波折反射分析

2.1 1模故障分量网络电容等效

柔性直流输电线路故障后,1模故障分量网络严格上应该用图2(a)表示,即不能忽略换流站的影响,其中Zrec,Zinv,Rf,C分别为整流站等效阻抗、逆变站等效阻抗、接地过渡电阻、换流站并联电容;Δij1和Δik1分别为整流侧和逆变侧直流线路的1模故障分量电流;Δijc1和Δikc1分别为整流侧和逆变侧并联电容注入直流线路中的1模故障分量电流;Δirec1和Δiinv1分别为整流站和逆变站注入直流线路中的1模故障分量电流。图2(b)为故障后短时间内的等效网络,其中u代表行进到直流母线处的电压初始行波,幅值为E。

为说明换流站可以等效为电容的合理性,现对图2(a)中各1模故障分量电流进行仿真考察,其波形如图3所示。其中仿真的线路长度为1 000km,正极金属性接地故障发生在距整流侧300km处,故障时刻为1.5s,图中给出故障前0.5τ和故障后3τ范围的波形(τ为1模行波从线路本端传到对端所需要的时间)。

从图3可以看出,在故障后3τ范围中,电容上的1模故障分量电流Δijc1和整流侧线路的1模故障分量电流Δij1近似相等,并且整流站注入直流线路的电流Δirec1几乎为0,即可以近似认为整流站不向线路提供1模故障分量电流,该分析同样适用于逆变侧。因此,1模故障分量网络在故障后3τ范围可以等效为图2(b)所示的网络,即两端换流站系统在故障后短期内能够等效为电容。

2.2 直流母线处行波折反射分析

由2.1节电容等效可知,故障后的短期内,1模故障分量网络见图2(b)。根据彼得逊法则,直流母线处行波折反射规律可用图4所示等效电路表示。

在图4(a)中,ub为入射波u在电容处的反射波;Zc为输电线路的波阻抗。图4(b)即为彼得逊等效电路,为求解方便,此处用拉普拉斯变换的形式表示,其中UC(s)为电容电压。根据分压原理易得:

将式(1)变换到时域可得:

电容上的电压为入射波和反射波之和,即

联立式(2)和式(3)可得反射波为:

由式(4)可见,入射行波在刚到达直流母线处,即t=0时,反射波电压ub=-E,也就是说电压行波在到达电容处时的瞬间发生了全反射,并改变极性。该特点可用反射系数KC表示,记为KC=-1。

2.3 故障点处行波折反射分析

文献[13]得出在双极直流输电线路中电压行波不仅会发生相同模量间的折反射,还会发生不同模量间的折射,此特点可用下述折反射系数表示:

式中:Zc1和Zc0分别为输电线路1模和0模波阻抗;K11和H11分别为1模电压行波间的反射系数和折射系数;H10为1模到0模的电压行波折射系数;K00和H00分别为0模电压行波间的反射系数和折射系数;H01为0模到1模的电压行波折射系数。

由H11的表达式不难得到1模电压行波间的折射系数会随着过渡电阻的增大而增大,则当过渡电阻为0时有最小值H11min=Zc0/(Zc0+Zc1)≈2/3,因此,1模电压行波在故障点处的折射要大于反射,且根据K11和H11的表达式可见,故障点处反射改变极性,折射则不改变极性。

综上可得1模故障分量网络中的电压行波特点为:(1)在直流母线处会发生全反射,在故障点处折射要大于反射;(2)反射改变极性,折射不改变极性。

3 行波网格图分析

本文的故障定位方法是基于行波传播规律实现的,因此有必要对图5所示的行波网格图进行分析。

图5是故障分量网络下的行波网格图;F代表故障点;实线代表1模电压行波,虚线代表0模电压行波;折反射较弱的行波用细线表示,折反射较强的行波用粗线表示;点划线代表行波的反向延长线。

此外,文献[13]证明故障点处的电压初始行波可用式(6)求得:

式中:U为故障前的线路电压;U0和U1分别为0模和1模电压初始行波的大小。由此可见,各模量的电压初始行波FA,FA1,FI1,FI2都是负极性的,在图中用负号表示;正极性用正号表示。由图5可见,如果要从j端检测到对端直流母线第1次反射的1模电压反行波LJ,至多需要A点所在时间后2τ时间窗的数据,即tA至tK。

本节的分析旨在得出如下命题是正确的:无论是近端故障还是远端故障,在tA至tK时间窗内,j端接收到的所有1模电压反行波中,来自对端母线第1次反射的反行波LJ是最强的正极性反行波。

以上命题的正确性是本文故障定位的关键所在,下面将给出详尽的分析证明过程。

3.1 近端故障

图5(a)为近端故障,故障位置在线路的3/10处。由于B1至B7点到k侧的前行波及其后续行波和A7至A11点的反射波及其后续行波不会在j端tA至tK时间窗内产生反行波,因此为了简明起见,这些行波没有标示出。此外,故障点处1模到1模的电压行波反射较弱,因此1模电压行波AB经过2次反射得到的行波B2A6已经很小,因此行波B2A6后续的行波也不再标示出。

首先分析k端母线处0模反射波I2B6的影响:易知I2B6产生的1模反行波B6A12是否在j端tA至tK时间窗内是与故障距离有关的,但是B6A12的极性为负却与故障距离无关,因此不会影响前述命题的证明;I2B6也会产生正极性1模反行波B7A14,但是根据行波的行程关系易知,B7A14是不在j端tA至tK时间窗内的,因此也不会影响前述命题的证明。

综上所述可知,k端的0模反射波不会影响前述命题的证明,因此可以将其忽略。则根据前述行波折反射分析以及式(5)可以得出如下结论:(1)电压行波在线路两端电容处和故障点处反射会改变极性,如行波FA到AB、AB到BA2;(2)不同模量间的电压行波在故障点处折射会改变极性,如行波AB到BA3;(3)相同模量间的电压行波在故障点处折射不会改变极性,如行波AB到BI。

根据上述极性分析可知:当近端故障时,在j和F点之间,除了对端第1次反射的1模反行波LJ是正极性的,其他1模反行波都是负极性的,因此前述命题在近端故障的情况下是成立的。

3.2 远端故障

图5(b)所示为远端故障,故障位置在线路的7/10处。类似于近端故障分析可知,j端母线处0模反射波A1D6不会影响前述命题的证明,因此也可以将其忽略。由于L和D1至D6点折射到j侧的0模反行波也不会影响前述命题的证明,这些行波及其后续行波没有标示出。

根据近端故障中的行波极性分析可知:当远端故障时,在k端的tI时刻之前,0模和1模反行波都是正极性的,在此基础上可得如下结论:(1)在F和k点之间的0模反行波经故障点折射到j端的1模反行波都是负极性的,如D1A2,D4A6;(2)在F和k点之间的1模反行波经故障点折射到j端的1模反行波都是正极性的,如LJ,D2A3。

此外易知反行波DA4是负极性的,因此只有k端的1模反行波会影响到命题的证明。k端产生的1模反行波只有2种情况:(1)1模电压行波产生的,如I1L,I3D2;(2)0模电压行波产生的,如I5D3。由于在故障点处1模到1模电压行波的反射很弱,因此第1种中最强的应该是最初行波I1L,同样第2种中最强的也应该是最初产生的反行波I5D3。

由图可见,反行波I1L,I5D3直接影响着LJ,D3A5行波的强弱,因此证明反行波I1L强于I5D3与证明前述命题等价。由行波的折反射规律可得:

式中:UI1L,UI5D3,UFI1,UFI2分别代表电压行波I1L,I5D3,FI1,FI2的大小。由式(7)可推导出UI1L>UI5D3,由此可证明前述命题在远端故障情况下也是正确的。

综上所述可知,无论是近端故障还是远端故障,在tA至tK时间窗内,在j端接收到的所有1模电压反行波中,来自对端母线第1次反射的反行波LJ是其中最强的正极性反行波。

4 沿线电压分布的计算

基于以上分析,本文提出利用行波电压分布特征的故障定位方法,该电压分布是在1模故障分量网络下j端第1次1模电压反射波及其前行路径上的计算电压分布,即路径AI上的计算电压分布。图6为在分布参数模型下单根有损均匀传输线模型,其中Δuj1和Δij1分别为j端的1模故障分量电压和电流;Δu1为距j端x处的1模故障分量电压。

当采用无畸变模型时,根据文献[14]可以按下式计算出t时刻的1模故障分量电压Δu1为:

式中:R1为线路单位长度的1模电阻;v1为1模波速度。根据行波的折反射规律以及式(8)可知:当线路任何位置发生故障后,若要计算出路径AI上的电压分布,至多需要故障后3τ的电气量数据。

5 故障定位原理

观察式(8)的结构可知:计算路径AI上电压分布的过程就是将j端A时刻的前行波电压AB和j端tA至tK时间窗内各采样时刻的反行波电压逐一相加的结果,因此不难理解,这些反行波会在路径AI上的计算电压分布中产生相应的跳变,如图5中的C,E点。且这些跳变点的强弱是由对应的j端的反行波强弱决定的。第3节已经证明LJ是j端接收到的最强正极性反行波,因此E点将是该计算电压分布中最强的正跳变点,且观察行波网格图的几何关系可知E点到对端的距离即是故障距离。

因此,本文故障定位原理是:将本端收到故障点传来的第1个波头作为起始时刻,计算沿线电压分布中的最强正跳变点(即AI中的最强正跳变点),通过跳变点的位置确定故障距离。计算公式如下:

式中:xf,l,xE分别为故障距离、线路全长、最强正跳变点E到测点的距离。为了标定E点的位置,可以对路径AI上的计算电压分布求取一阶导数,导数的最大正值对应的即是E点的位置。

此外,计算路径AI上的电压分布时需要标定起始时刻A,即初始行波到达测点的时刻。目前行波故障定位一般将电压行波的采样值超过某一门槛值的时刻标定为起始时刻。采样频率的高低直接影响着起始时刻标定的准确性,在行波波速为光速和采样频率为500kHz的情况下,对应的最大定位误差为300m。为了准确标定初始行波到达本端的时刻,除了采用高采样率设备外,还可以用求导数法来提高起始时刻标定的准确性[15]。

需要说明的是,尽管路径AI上的计算电压分布在故障点后是虚假的,但由于电压行波在对端母线处发生全反射,且在故障点处主要为折射,因此对端反射波I1J在传播过程中衰减不大,即AI与I1J的交点E处具有最强的正跳变,该跳变点距离对端的距离即为故障距离,利用该特征即可实现故障定位。因此,故障点后计算的虚假电压分布并不影响本文故障定位的有效性。

6 仿真验证

2010年ABB公司在非洲投入的柔性直流输电工程的架空线长度已接近1 000km,本文仿真系统中将架空线长度设为1 000km。本仿真采用在PSCAD上搭建的柔性直流输电系统模型,该模型采用的控制系统是基于“直接电流控制”的双闭环串级比例—积分(PI)控制器,正极和负极并联电容器均为1 000μF,仿真的数据采样频率为500kHz。

输电线路采用分布参数模型模拟,具体参数为:R1=3.206 1×10-2Ω/km;线路单位长度0模电阻R0=3.306 9×10-2Ω/km;Zc1=377.710 4Ω;Zc0=783.724 74Ω;v1=2.931 7×105km/s;0模波速度v0=1.933 5×105km/s。

基于前述的故障定位原理,本节进行了PSCAD下的仿真验证,鉴于篇幅所限,本文只给出了距离整流侧300km和700km处发生正极接地故障的定位函数图,其过渡电阻分别为0,300Ω,如图7所示,图中跳变点的字母标示与图5对应。可见,在300km和700km处故障的情况下,沿线计算电压分布的一阶导数值最大点出现在700km和300km处,因此用线路全长减去该距离即可判定为故障距离。其他情况下的故障定位结果如表1所示,可看出,本文算法对全线都有较高的故障定位精度,本文仿真结果的最大测距误差没有超过0.03%,且故障定位结果不受过渡电阻的影响。其中过渡电阻选为0,100,300Ω,测距误差为:

式中:lr为测距结果;lf为实际故障距离;l为线路全长。

7 结语

本文所述故障定位方法具有以下特点:(1)无需人工识别行波波头。该方法只需求取计算电压分布的导数最大值即可实现故障定位,无需人工介入;(2)耐过渡电阻能力强。由于过渡电阻越大,1模电压行波在故障点处的反射越弱,这样就越有利于故障定位的实现,因此理论上不受过渡电阻影响。

直流电压利用率 篇2

高压直流输电的换流站在其换流过程中会产生大量谐波。大量谐波注入交直流网络,将会对电力系统自身的设备及负荷带来一系列危害: 直流输电闭锁、旋转电机和电容器等设备的附加谐波损耗和发热; 谐波谐振过电压造成电气元器件及设备的故障与损坏; 谐波会恶化换流器的工作条件,引起逆变器换相失败或换流器控制不稳定; 谐波计量产生错误; 谐波在200 ~ 35000Hz范围内,会对通信设备产生比较严重的干扰; 保护装置误动作[1]。因此,谐波分析与控制成为高压直流输电的基本问题。随着中国高压直流输电工程的增多,特别是特高压直流输电的投入运行,谐波问题越来越严重,曾导致直流系统的停运。所以,对直流系统而言,如何准确地测量和评估谐波水平成为重要的研究课题。

在直流输电系统中,直流电压互感器( DCVT)是非常重要的电压测量设备。依据DCVT不同的电压测量位置,可以分为中性线用和极线用直流电压互感器两种类型。相对于中性线用直流互感器,极线用直流电 压互感器 有较高的 工作电压 和准确度[2]。

分压器是DCVT的重要部分之一,按其测量原理可分为电阻 分压器、电容 分压器和 阻容分压器[3]。虽然电阻分压器可实现高精度测量[4],但其频率特性对杂散电容非常敏感。电容分压器的分压比会随着负载变化而变化,杂散电容对电容分压器的影响很小; 但由于寄生电感的存在,使电容分压器的分压比与电路参数有关,同时此分压比会随着被测信号频率的改变发生变化。阻容分压器将电阻分压器和电容分压器结合起来,它有良好的频率特性,在直流输电系统中是唯一用于电压测量的装置[2]。Erik Sperling在文献 [5]中指出,直流电阻分压器采用阻容分压的形式,拥有良好的频率响应,但没有考虑其他器件对整套电压互感器的影响。

本文针对极线用阻容式直流电压互感器,研究了其基本结构原理,分析各个环节的特性以及各参数对直流谐波电压测量误差的影响,通过仿真和实验分析指出了削弱误差的方法。

2 直流电压互感器结构原理

直流电压互感器的结构原理图如图1所示[6],其一般由一次传感器和二次直流放大及辅助装置两部分组成。一次传感器为直流阻容式分压器,由多级电阻和电容进行串并联构成。这些电阻由环氧树脂密封在真空的状态下,内部充满绝缘油或SF6气体,外部有复合绝缘子,其顶部安装均压环来均压。

直流分压器由高压和低压两部分集合而成。高压部分由一些电阻和电容先并联,然后再串联在一起组成。低压部分的设计原理与高压部分相似,并配有保护放电间隙保证低压回路的元件安全。分压器输出电压作为直流放大器的输入电压信号,经过放大后取得与被测直流电压成比例的电压输出,通过光纤送至控制保护屏柜内[6]。

分压器电阻部分的主要作用是测量直流电压,电容部分的主要作用是均匀分布雷电冲击电压。在雷电冲击情况下,受到寄生电容的影响,分压器电阻上的电压将不均匀地分布,靠近高压侧的电阻承受很高的冲击电压,这极有可能使单个电阻由于过电压而损坏,进而导致整个分压器的损坏。并联电容能够有效减小寄生电容的影响,使冲击电压分布均匀[2]。

由此可知,直流电压测量的准确性主要由电阻元件自身的特性所决定。电阻的阻值一般选得比较大,但选得太大将会削弱电阻的作用,使阻容分压器变成了电容分压器。电 阻应该具有温度系数小( 高、低压臂电阻的温度系数应相同) 、电感量小、在高电压作用下阻值稳定且能够耐高压和冲击电压等特点。

同轴电缆将分压器和电子隔离装置( 放置在控制室内) 连接起来,使分压器输出的低压测量信号传送到电子隔离装置,保证该信号不会受到电磁干扰和其他环境因素的影响。

3 各部分频率特性分析

3. 1 分压器

阻容分压器的结构如图1所示,其中R1为高压臂电阻,R2为低压臂电阻,与电容C1和C2并联组成RC网络实现分压功能。

复数传递函数H( jω) 为:

从式( 1) 可看出,在高频段下电容分压器主导着传递函数的幅值,而在低频段由电阻分压器主导。所以,当f = fx≠0 ( ωx= 2πfx) 时,选取合适的电容和电阻使ωxC2R2>> 1和ωxC1R1>> 1成立,这样在( fx,∞ ) 频段,阻容分压器变为电容分压器。

当式( 2) 所示条件满足时,传递函数为一个与频率无关的定值。

式( 2) 所以被称为补偿条件。从谐波角度讲,补偿条件满足时,分压器能够使被测电压中各种频率成分顺利通过。分压器不同补偿条件下的频率响应如图2所示。图2中,R1= 400MΩ,R2= 50kΩ,C1=400p F,若满足式( 2) ,则当C2= 3200n F时将得到平坦响应( a线) ; 若C2取8350n F[2]将使阻容分压器过度补偿( b线) ; 若C2取1950n F将使阻容分压器欠补偿( c线) 。

实际上,由于元件的非线性,如电阻和电容存在杂散电感等,式( 2) 中的条件很难得到,电压测量会有一定误差。

电压幅值相对误差为:

式中,U1和U2分别为在测量条件下的一次和二次电压; K为分压器的额定分压比。

假如二次电压相位超前一次电压相位,相位移计算的定义为:

3. 2 同轴电缆

一般的同轴电缆有四个参数,分别为电阻、电感、电容和电导,如图3所示。由于集肤效应,随着频率的增加电阻的阻值会增加,而电感值将下降。在频率依赖性的介电损耗的影响下,电导值将变化。只有电容值不是频率依赖性的[7]。为了保证同轴电缆的传输特性,运行中的频宽不能超过它自己的截止频率fc,其表达式为:

式中,Di、da分别为电缆的内径与外径( mm) ; vr为相对传播速率( % ) 。

图3中,Rc、Lc、Gc、Cc分别为同轴电缆单位长度的电阻、电感、电导、电容; ZL是负载阻抗。U1和U2的传递函数为:

式中,Zc为特征阻抗; γ为传播常数; l为电缆的长度。

另外电压反射系数( Γ ) 也是同轴电缆的重要参数之一,其表达式为:

如果式( 9) 中满足ZL= Zc,则电缆末端的负载就是匹配负载,功率在电缆上实现无损传输,同轴电缆的传递函数只取决于电缆自身参数。同轴电缆的频率响应如图4所示,当ZL< Zc时电缆频率响应不是很好。实际上,选取的ZL比Zc大得多。

3. 3 电子隔离装置

为了提高测量的精度,被测量的输入信号需要先通过一个低通抗混叠滤波器来滤除噪声的影响,其截止频率设为1 /3倍采样频率。之后,再通过模拟-数字转换、低通滤波器等来滤除大于1 /2采样频率的信号,取得与输入信号成比例的输出信号,作为控制保护和测量系统所需要的信号。光耦合器在A / D转换与D / A转换之间,使输入 ( A / D输出) 和输出( D/A输入) 两端实现绝缘和隔离,无反馈作用,信号只能从输入单向传输到输出,具有抗扰能力强、响应速度快、工作稳定可靠等优点[8]。另外,分压器输出电压作为直流放大器输入信号,经过放大后取得与输入信号电压成比例的输出电压信号。可以认为整个电路建立了一个电子光耦合隔离放大器。

一般来说,现在使用的直接AD转换器( ADC)技术已经比较成熟和完善,转换精度不受系统谐波分量变化及频 率波动的 影响,采样方法 相对可靠[9]。

一般DAC均有“保持”输出,这就意味着当接收新的数字采样时,DAC输出会立即变为相应的新值并保持不变,直到下一个采样到来为止。这会导致阶梯型的输出并引起非平坦的频率响应,即响应下降问题。此外,作为DAC输入信号的ADC输出信号是有限数目的样本,信号的样本越少响应下降问题越严重。可以同时采用数字滤波器和模拟滤波器来减少这个影响[10]。

典型光耦合器输入部分是砷化镓红外发光二极管( LED) ,输出部分是硅光电三极管( OPT) ,如图5所示。光耦合器的参数可分为输入参数、输出参数和传输参数。其中,传输参数为电流传输比CTR,指在直流工作状态下,光耦合器的输出电流IC与输入电流IF之比值,即:

光耦合器的频率特性由最高工作频率f0表征,它受发光二极管的频率响应、光电三极管的入射光信号的响应速度、LED与OPT之间的寄生电容等因素的影响。光电耦合器输出电流与输入电流交流传输比为[11]:

f0可由测试光电耦合器对脉冲信号的响应时间获得,其公式为:

式中,tr为脉冲信号响应的上升时间。

从式( 12) 可以看出,tr越小f0越大。在实际应用中,通过选择适合放大器( 集合光耦合) 电路元件的参数可得到较宽的频带[11]。

4 DCVT 频响与影响因素的分析

由第3节可知,对于互感器频率特性的影响,阻容式分压器占主导地位,在一定条件下电阻或电容起决定作用。每个电阻自身都存在杂散电容和杂散电感,这种非线性特征会使电阻在一定的频率下出现谐振现象。但电阻并联电容时,电阻的纵向杂散电容比并联电容小很多,可以忽略不计,只考虑对地杂散电容。另一方面,分压器在运行过程中往往会产生参数偏差,影响到测量精度。下面分析该参数偏差如何影响测量精度。

4. 1 电阻杂散电感的影响

考虑到杂散电感的影响,电阻并联电容的结构变成了电阻先串联杂散电感然后再并联电容。这样结构的有效串联阻抗如下:

可以看出,当ω << ωr,阻抗Z显示电容性。在比ωr更高的频段,电感L很小,可以忽略不计。

4. 2 分压器的对地杂散电容的影响

分压器的各电阻元件都会有对地杂散电容和纵向杂散电容[12],其中纵向杂散电容比并联电阻的电容小很多,可忽略不计。假定分压器电阻和对地杂散电容是沿分压器均匀分布的,分压器的等值电路采用分布电路的模型,如图6所示。

图6中,分压器的总长度为l,低压臂离接地点距离为x,总电阻为R = R0l( R = R1+ R2) ,对地杂散总电容Ce= Ce0l,并联电阻的总电容为C =C0/ l( C = C1+ C2) ; 它们对应的单位长度上的数值为R0、Ce0、C0。R1、R2、C1、C2分别为高压臂和低压臂的电阻和电容。

根据加在高压端的电压U1得出在x( x /l =R2/ R) 处的电压为:

式中

由式( 14) 和式( 15) 可见,如果总电阻和总并联电阻的电容已知,当频率ω、对地杂散电容增加时,分压器的分压比误差将增大。

仿真结果如图7所示。可以看出,Ce越大对频率特性的影响越明显。为了克服Ce的影响可选择适合的并联电容,并联电容选得越大,对Ce的控制效果越好。实际上,低压臂并联电容C2比高压臂并联电容C1大得多,所以调整C2将会有较明显的效果。

4. 3 影响测量误差的因素分析

分压器的测量误差问题主要由系统频率偏差、电阻值偏差和电容值偏差引起。电阻和电容并非恒定值,而是受很多因素影响,它们在生产过程中产生的误差值将会引入测量误差。电阻值的偏差和电容值的偏差表示如下:

式中,R0和C0是电阻和电容额定值; ΔR和ΔC是电阻和电容的偏差度( % ) 。

另外,在实际运行过程中,电阻值和电容值随环境温度的变化 而改变,从而影响 互感器的 稳定性[13]。环境温度对电阻和电容的影响表示为:

式中,αr和αc分别为电 阻和电容 的温度系 数( ppm/℃) ; Δt为环境温度变化( ℃) 。

分压器的高压臂电阻( R1) 、低压臂电阻( R2) 应该选取具有 较高精度 和较小温 度系数的 电阻[8,14,15]。在测量直流电压时,分压器由电阻部分起决定作用。如能使R1和R2的温度系数或者精度近似相等,则分压比误差可减小甚至抵消。分压器在高频段由电容起决定作用,电容值取决于温度和精度。从式( 16) ~ 式( 19) 可见电阻、电容的偏差值和温度对测量误差影响的机理相同,所以下面的分析中将参数值误差通过温度偏差值进行分析。另一方面,通常电力系统在运行过程中的频率最大变化范围为Δω = ±1% ,频率的变化也将引起电容值的偏差,带来测量误差。

在恒定的温度和稳定的频率条件下,分压器的分压比为:

式中,δr1( 2)= 1 + ΔR1( 2)/100, δc1( 2)= 1 +ΔC1( 2)/100; 下标1、2分别代表高压臂和低压臂的相应参数。

考虑频率偏差( Δω) 时,分压器的分压比为:

式中,ω0为额定频率; δω= 1 + Δω /100。

使用Monte Carlo分析法分 析式 ( 20 ) 和式( 21) ,电阻的精度为±1% ,电容的精度为±5% ,频率偏差为±1% ,仿真结果如图8所示。

从图8( a) 可以看出,在低频段分压器的分压比由电阻部分主导,电容的影响很小,这使得分压比误差在±2% 范围内。如果电阻精度达到±0. 1% ,将使测量误差在±0. 2% 范围内,但如果频率偏离额定值将会使误差超过此范围,如图8( b) 所示。在高频段,电容的影响越来越明显,但不会超过电容精度的两倍,如图8( c) 所示。总之,可以通过选取精密的电阻和电容来减少分压器的电压测量误差,增大互感器的测量准确度。

4. 4 实验结果与分析

阻容分压器的实验电路如图9所示。图中,R1和R2分别为高、低压臂电阻,C1和C2分别为高、低压臂电容,RL为同轴电缆末端的匹配电阻。在实验室的条件下,使用单相变频电源产生0. 1Hz ~ 10k Hz的10V正弦电压信号作为输入。输出电压通过示波器测量,结果如图10和图11所示。

由图10和图11可知,分压器的频率响应良好,频宽达到10k Hz,电压幅值误差在±0. 2% 范围之内。综上所述,阻容分压器可用于谐波测量。

5 结论

直流电压利用率 篇3

随着我国直流输电规模的快速增长,单个直流输送容量的增加和多馈入受端结构的形成,电网“强直流弱交流”特点逐渐明显。 南方电网作为典型的多馈入受端系统,具有负荷密集、感应电动机比例较高的特点。 在这种情况下,受端交流系统发生故障可能导致多回直流同时换相失败,并产生复杂的交直流相互作用,使得系统动态无功需求剧烈变化;同时,由于直流落点处的负荷中心地区缺乏电源支撑,动态无功缺乏,交流系统严重故障时电压稳定问题突出,威胁着系统安全[1,2]。 因此,合适的直流控制技术与动态无功补偿技术对解决受端系统电压稳定问题有着关键的作用[3,4,5,6],利用直流系统本身的无功调节能力相较于装设无功补偿装置而言,是一种更为经济的手段。

目前基于换流站控制改善交流系统无功特性的控制系统大致分为2 类:一类以交流系统无功功率交换量为控制对象,将换流器与交流系统交换的无功功率控制在一定的范围内;另一类是以换流母线电压为控制对象,以维持交流电压稳定进行换流站无功调节[7,8,9,10]。 针对多馈入系统的无功调节措施,较多采用第二类,文献[9]提出基于交流电压偏差变化的熄弧角无功调节方法,由于该方法受制于熄弧角,无功调节对受端电压稳定作用有限,仅针对过电压的情况。 文献[10]设计的协调控制器中提出定交流电压控制,能够在加快系统恢复的同时有效改善交流母线电压稳定性,但该方法的理论研究及可行性有待进一步研究。

基于上述研究,本文从换流器运行特性的角度,分析了定交流电压控制对无功功率的调制作用,结合多馈入系统结构及电压的评估指标,提出了该控制方式在逆变侧的配合及设置策略,对受端电网换流母线电压稳定问题及动态无功缺乏问题具有一定的改善作用。 最后通过算例分析,验证了该控制方案的有效性及可行性。

1 定交流电压控制特性

定交流电压控制属于直流站控制,其实质是通过调节换流器与交流系统的无功功率交换,控制换流站内交流母线的电压特性[11]。 文献[12]通过仿真研究证明了该控制方法对多馈入系统恢复期间的电压波动和后继换相失败有一定程度的抑制作用。本文从逆变器运行范围的角度对定交流电压特性进行分析。

稳态运行时,逆变器有功和无功功率的运行范围可由Pn- Qn坐标系统表示[13],如图1 所示。 以流向直流系统的功率方向为正,定直流电流Id特性是以原点为圆心的圆,需在最大电流Idmax与最小电流Idmin这2 个圆弧之间变化;定直流电压Ud特性是通过原点的直线,它与运行功率Pn轴的夹角为功率因数角 φ,Ud可在0 ~Ud0范围内调节;定熄弧角 γ 特性为一条下凸曲线,变化范围在 γ≥γ0(γ0为允许运行的最小熄弧角)内。 因此逆变器的运行范围实际是限制在定 γ0特性曲线、Idmax和Idmin圆弧以及Ud= 0所围成的封闭区域内。 图中,e为逆变器额定运行点;Pde为额定输送功率;φ0为额定功率因数角;Ud0为逆变侧空载直流电压。 由图1 可知,若逆变器不限于定熄弧角运行,在保持额定直流功率不变的情况下,其无功功率可沿线1 进行调节,由Idmax与 γ0分别限制最大与最小可调量。 该图表明,充分利用逆变器的无功功率调节能力,可以在一定程度上解决换流站内无功功率平衡问题,尤其是与弱交流系统相连的换流站。

当逆变器采用定交流电压Ui控制时,一般情况下,整流器采用定电流控制,可以维持逆变器的视在功率Si不变,运行特性与定直流电流重叠,如曲线2,在额定运行点e处与定熄弧角特性相交。 已知逆变器控制运行与功率因数的关系为:

当交流系统受到扰动,母线电压Ui呈下降趋势。 当逆变器采用定熄孤角控制时,如式(1)所示,为了维持 γ 恒定,使控制角 β 增大,功率因数角 φ 增大,即图1 中运行点沿曲线3 偏移至点e′0,逆变器消耗的无功功率Qn增加,导致Ui进一步下降;当逆变器采用定交流电压控制时,为了维持Ui在整定值内恒定,逆变器快速调节控制角,即使 β 减小,φ 减小,运行点沿e′1方向移动,逆变器消耗的无功Qn减小。

如图1 所示,在逆变器运行范围内,定交流电压控制与定熄弧角控制的无功功率特性分别为曲线2 与曲线3。 当系统轻载运行时,定交流电压控制将增大换流器无功功率吸收,维持交流母线电压为整定值;定熄弧角控制则需通过切电容器、静止无功补偿器增发感性无功等来调节过剩的无功功率,调节量由曲线2 与3 的纵坐标差决定,当Pn= 0.8Pde时,无功功率调节量约0.4Pde。 由此可见,相比定熄孤角控制,定交流电压控制具有更有利于控制无功功率、稳定母线电压,在适宜情况下可作为改善弱交流系统电压稳定性的经济控制技术。

2 多馈入系统电压稳定评估计算

2.1 电压稳定耦合因子的定义

落点较近的多馈入直流系统,换流站交流母线的电气联系较强,其间的相互作用可能导致系统总体性能下降[14]。 因此衡量换流母线电压的稳定性,需要同时考虑系统的自身强度以及直流间的耦合影响[15]。

电压稳定因子(VSF)是衡量电压稳定性的经典判据之一,它代表节点电压对注入无功扰动的灵敏度[16]。 由于其物理意义明确,该指标同样适用于多馈入系统,衡量直流输电中换流母线电压稳定性。

已知简单多馈入模型如图2 所示,对系统i而言,VSFi的定义如下:

其中,VSFi为正表示系统静态电压稳定,其值越小越稳定,越大则稳定性越弱。 从定义上看,该指标重点考虑了节点自身处的电压稳定,没有突出直流间的相互作用。

利用多馈入交互作用因子(MIIF),能够定量描述两换流母线间电压相互影响的程度[17],即母线i对母线j的交互作用因子MIIFji可表示为:

其中,为定义表达式,指在换流母线i处投入对称三相电抗器引起1% 的电压波动 ΔUi时,换流母线j的电压变化率;为结构表达式,其中Zeqij、Zeq ii分别代表保留换流母线的节点阻抗矩阵Zeq中互阻抗与自阻抗元素。 不论在定义式还是结构式中,均可看出交互作用因子指标表征了直流i对直流j的参与度。

综上分析,假定在母线i处投入三相电抗器,产生无功扰动(记为 ΔQi),i的电压波动可记为:

根据两节点间的交互关系,ΔUi使得母线j产生的电压变化为:

同理,由式(6)可定义多馈入系统中,某一换流母线i发生无功扰动 ΔQi(i = 1,2,… ,n;i ≠ j)时,母线j的电压稳定因子为:

综上,为了衡量某一换流母线电压受到所有与其相连的直流系统无功波动的影响,可定义节点j的电压稳定耦合因子(VSIF)为:

对于n馈入的直流系统,VSIFj的含义为:依次在换流母线i(i = 1,2,…,n;i ≠ j)注入无功功率,而引起1% 的电压波动时,母线j的电压稳定程度之和。

在多馈入交直流系统中,换流母线节点j的电压耦合因子VSIFj越大,则说明该母线电压受其他节点无功扰动的影响越大。 在动态无功缺乏的情况下,其他节点发生故障可引起该母线电压较大幅度的波动,同时增加了换相失败的风险[18]。

2.2 电压稳定耦合因子的计算方法

下面将通过解析法对电压稳定耦合因子进行求解分析[20]。

如图2 所示的多馈入系统,其线性化潮流形式可表示为:

其中,ΔP、ΔQ为母线注入功率的增量;J为2n × 2n阶的雅可比矩阵。

由于换流站注入节点的直流功率变化量仅与当地电压幅值相关,与交流系统电压相角无关。 对式(9)中的直流量进行修正,有:

其中,ΔP′、ΔQ′为不包含换流站注入节点的直流功率增量;J′P U、J′QU分别为JP U、JQU对角线元素的修正矩阵。 修正元素为:

令 ΔP = 0 时,根据文献[19]可知 ΔQ与 ΔU的关系为:

由电压稳定因子的定义式可知:

根据式(12)、(13)可知,电压稳定耦合因子同样可表示为:

由式(14)可知,电压稳定耦合因子为降阶雅可比矩阵JR-1第j行除对角元素的和值,其值决定了换流母线电压交互耦合的强度。 可以看出,电压稳定耦合因子与直流系统、受端交流系统的结构参数密切相关。

3 控制策略的实现

3.1 定交流电压控制的配合方式

逆变侧控制是由多个控制器相互配合组成,通常以某一控制器为主要调节,其余控制器作为附加调节。 定交流电压控制无论设为主控制或附加控制,都是通过调节 β 角控制逆变器无功消耗来维持换流母线电压稳定。 若将定交流电压控制设为主控制器,直流电压将运行在较大的范围,分析如下。

定交流电压控制的稳态运行特性为:

设在直流控制作用下Ui与Id保持恒定,认为叠弧角 μ 不变,由式(16)可知直流电压将随 β 变化而波动,已知 β  (30°,90°),将式(15)代入式(16),求Ud对 β 的偏导为:

由系统运行状态易知A1> 0,A2< 0;稳态中 β 维持在较小的角度,Ud随 β 的上调呈减小趋势。 考虑到直流输电工程中,由投切无功装置等引起换流母线无功扰动频繁,易使Ud低于额定运行点运行,从而增加有功功率的传输损耗,影响运行的经济性。然而,为了解决传统定熄弧角控制方式在扰动期间使功率因数下降,不利于电压稳定,易导致弱受端系统电压崩溃的问题,定交流电压控制更加适合作为定熄弧角控制的附加控制。 其原理框图如图3所示。

3.2 平滑切换逻辑控制器设计

为了避免噪声干扰和瞬时小扰动引起不必要的控制动作,切换控制器通常需要满足一定的切换条件[10],实现逆变器控制方式的平滑转换。

由于常规定熄弧角控制与附加定交流电压控制器参数配置的不同,在定交流电压控制接收指令退出控制时,2 种控制方式存在微小的控制量(β)差,在系统恢复稳态后,简单的切换动作也可能引起较大的振荡。 为了避免对系统的再次干扰,本文设计了基于状态跟随的平滑切换控制方法,其原理如图4 所示。

将定交流电压控制状态与定熄弧角控制状态设计为一负反馈,作为定熄弧角的一个输入,使得暂态过程中定熄弧角随时跟随定交流电压输出,保证切换前2 个控制器输出的状态量总是一致。 同时对逻辑开关K1— K4进行合理的控制实现。

(1) 系统稳态时:K2、K3闭合,K1、K4断开;逆变侧运行在定熄弧角控制方式下,隔离定交流电压控制。

(2)定交流电压控制时:K1、K3、K4闭合,K2断开;扰动期间,定熄弧角闭环控制器的状态量将跟随定交流电压控制器输出,此时逆变侧的控制是以换流母线电压为主要调制对象的控制作用。

3.3 控制器参数寻优算法

为了满足逆变侧定交流电压附加控制器的性能要求,本文采用非线性规划SIMPLEX算法对附加控制器参数KP、KI进行优化。

非线性规划数学模型的一般形式为:

设R是满足上式约束条件gj(X)的n维欧氏空间En中的一个开集,则多元函数f(X)最小极点存在的必要条件为:f(X)在R上有二阶连续偏导数,对于X*∈R,若▽f(X*) = 0 且二阶偏导数矩阵(Hessian矩阵)▽2f(X*)正定,则X*∈ R为f(X)的严格局部极小点。

在规划式(20)的求解过程中,搜索方向的确定及迭代步长的选择是优化算法的关键,由于SIMPLEX算法对初值敏感且易陷入局部最优,本文参数优化迭代过程分为初值搜索迭代和优化迭代:首先以较大步长和较小数值仿真次数得到SIMPLEX迭代初值,然后利用SIMPLEX算法在较小的步长范围内得到最优解。 本文在PSCAD程序中,分别由Multirun模块与Simplex模块来实现上述步骤。

设目标函数满足换流母线实际电压Ui与整定值Uref的偏差最小,为:

寻优控制器参数KP、KI的步骤如下。

a. 给定初值X0= [KP0KI0]及可行域R。

b. 确定搜索方向Dk与步长 λk,使迭代满足:

c. 初步求得可行域最优解, 得到SIMPLEX算法初值X(0)。

d. 设定优化次数N , 利用SIMPLEX求解目标函数。

e. 迭代结束,得到最优解KP、KI。

4 控制策略的仿真研究

4.1 系统模型

为了验证本文提出的直流控制策略效果,基于CIGRE直流输电标准测试模型搭建了三馈入直流系统,结构如图2 所示。 每条直流线路的系统参数及无功补偿参数与CIGRE标准系统参数相同。通过改变等值阻抗Z1、Z2、Z3或联络线距离可以得到不同交流系统强度和电压稳定交互因子的多馈入系统。 设Z1=4.996+j14.5852 Ω,Z2=4.75+j13.414 Ω,Z3= 5.790 6 + j20.457 Ω,各直流系统逆变侧的电气距离为l12= 50 km 、l13= 80 km 、l23= 30 km , 得到系统电压评估指标如表1 所示。 联络线阻抗为0.41 Ω / km,X / R = 6。

由表1 可以看出,多馈入有效短路比(MESCR)与电压稳定因子对换流母线电压稳定特性的评估结果一致,由弱到强依次为:DC3、DC1、DC2。 根据电压稳定耦合因子的大小,各母线电压受耦合影响,由大到小依次为:DC2、DC1、DC3。 上述指标表明,DC3 换流母线的电压稳定性最弱;DC2 母线电压受到的耦合作用最大,由于与DC2 相连的DC1、DC3 系统强度相对较弱,其受联络线无功波动产生的不利影响也将最大。 因此从改善系统整定电压稳定性角度出发,对DC3、DC2 逆变站装设定交流电压附加控制。

4.2 仿真结果

为了验证上述控制方案的效果,考察最弱系统DC3 逆变侧换流母线处发生三相短路故障,故障持续时间0.05 s,DC3、DC2 系统加入定交流电压附加控制时,各直流系统的电压恢复特性如图5 所示(交流母线电压Uac、 直流电压Ud、 直流电流Id均为标幺值)。

由图5 可见,在常规定熄弧角控制作用下,弱交流系统发生的严重故障对多条直流系统的电压稳定性产生了不利影响:随着DC3 换流母线电压骤降至0.4 p.u.,DC1、DC2 系统交流母线电压被迅速下拉至0.85 p.u.,直流电压分别跌落至0.72 p.u. 与0.26 p.u.,3 条直流逆变侧同时发生换相失败; 故障清除后,由于常规定熄弧角控制的超调作用,换流站功率因数暂时大幅减小,造成逆变侧无功功率的剧烈交换,各母线电压波动严重,尤其是电压稳定耦合因子最大的DC2系统与弱系统DC3都出现了后继换相失败。



加入定交流电压附加控制后,故障期间,DC3 系统逆变器通过调节功率因数,以阻止电压的深度跌落;故障清除后,换相电压恢复过程较平稳,电压波动较小,无后继换相失败,如图5(c)所示。 DC2 系统在加入附加控制后,扰动期间以母线电压为主要调节目标;随着系统恢复期间动态无功平衡问题得以解决,避免了由于强耦合作用引起的电压波动及后继换相失败,如图5(b)所示。 同时DC1 系统电压、电流暂态特性及熄弧角变化如图5(a)所示,在系统间的相互作用下,DC2、DC3 系统换流母线电压稳定性提高对其也有一定的支撑作用,电压波动因此减小。 综上可以看出,本控制方案能够改善联系较为紧密的多馈入系统电压稳定性,提高系统整体恢复速度。

5 结论

a. 定交流电压附加控制配合定熄弧角控制, 能够有效抑制定熄弧角控制的超调量带来的不利影响,提高电压扰动期间的稳定性,同时保证直流输电的经济运行。

b. 电压稳定耦合因子指标能够表征某一直流换流母线电压受其余换流母线的影响的程度。 以该指标来指导控制策略的布置方案能够反映出在哪些直流输电子系统中采用定交流电压控制取得的控制效果更好。

c. 设计的平滑切换逻辑控制器, 有效地减小了由于控制参数不同引起的切换振荡,保证系统工况改变时直流控制方式的顺利转换。

直流电压利用率 篇4

关键词:模块化多电平换流器,直流电压下垂控制,电压死区,高压直流电网

0 引言

电压源型换流器(voltage source converter,VSC)[1,2],特别是模块化多电平换流器(modular multilevel converter,MMC)[3,4],具备较灵活的控制方式和较高的可行性和经济性,使得建立基于VSC技术的高压直流输电(HVDC)网络成为现实[5,6]。直流电网的协调控制是确保其稳定安全运行的关键控制技术,协调控制的两个典型控制目标是:①将直流侧电压控制在允许的电压区间内;②维持直流与交流电网瞬时交换功率的平衡[7,8,9]。

由于仅采用本地测量且无需依赖站间通信,分布式控制[10]相较于集中式控制[11]更适合用于直流电网的协调控制策略。直流电压下垂控制[9](以下简称下垂控制)是一种典型的分布式控制策略,当直流系统输入和输出的功率达到平衡时,直流电压保持稳定,其原理类似于交流电网的“功率—频率”控制特性。下垂控制可分为带死区的下垂控制[9]和不带死区的下垂控制[12]。二者主要区别在于:①带死区的下垂控制在死区内保持定功率/电流控制,而不带死区的下垂控制则无上述过程;②带死区的下垂控制只采用一个下垂斜率,而不带死区的下垂控制采用多个下垂斜率。

带死区的下垂控制使换流站在死区内保持定功率/电流控制,即保持换流站最经济的初始稳态运行点[9]。不带死区的下垂控制虽然采用多个下垂斜率来优化换流器的动态特性,但也需要较多的条件判断来选择合适的下垂斜率,这在实际应用中十分不方便。

带死区的下垂控制需要为每个换流站设计独有的电压裕度及死区[9]。由于直流电压允许变化的范围有限(如±10%),当直流电网包含多个换流站时,为每个换流站设计独有的电压裕度和死区将较难实现。另外,当接入直流电网的换流站个数变化时,需要重新设计每个换流站的电压裕度和死区,工作量繁重且降低了该控制策略的可行性。文献[7]在设计下垂斜率时仅考虑了换流站接入交流母线的短路比(short-circuit ratio,SCR),该斜率无法保证换流站在允许的电压裕度范围内可以达到其功率的限值从而最大限度地协助直流电网消除不平衡功率。

针对以上问题,提出了一种适用于柔性直流电网的新型带死区下垂控制策略。该策略将直流电网中的VSC分为4组,并为每个换流站组设计不同的电压裕度和死区来划分参与协调控制的不同优先等级。这样,已设计好的各分组的电压裕度和死区就不会受VSC个数变化的影响。当系统发生暂态故障时,直流电网内的各换流站均可参与协调控制,消除不平衡功率且稳定直流电压;当系统过渡至新的稳态运行点时,具备较低优先等级的VSC将会调整其功率至初始运行点。

另外,考虑到实际工程中VSC仅有降功率运行的趋势,提出了一种改进的功率—电压特性曲线及其下垂斜率的计算方法,来确保换流站可以在允许的电压裕度内达到其功率的限值。

1 带死区的下垂控制

带死区的下垂控制是利用基于dq双闭环控制原理[13,14]的控制器来实现其控制目标的,如附录A图A1所示。附录A图A1(a)和(b)分别给出了基于直流电压控制和基于有功功率控制的外环d轴控制器,用以产生d轴电流参考值idref。附录A图A1(c)给出了两条功率—电压(P-Udc)特性曲线,用以阐述附录A图A1(a)中基于直流电压控制的控制器和附录A图A1(b)中基于有功功率控制的控制器的配合原理。

附录A图A1(a)中,Pmax_1(Pmin_1)为直流电压控制类换流站的最大(最小)功率限值;Pmax_2(Pmin_2)为直流功率控制类换流站的最大(最小)功率限值;idref_max(idref_min)为idref的上(下)限值;Pref和Udcref分别为稳态有功功率和直流电压参考值;Pmea和Udcmea分别为有功功率和直流电压的测量值;K1至K4和T1至T4分别为对应的比例-积分(PI)控制器的增益系数和时间常数。附录A图A1(b)中的Udc_x为附录A图A1(c)所示P-Udc特性曲线中的Udc_vm_1或Udc_vm_2。附录A图A1(b)中k为附录A图A1(c)中P-Udc特性曲线在功率限值区间(Pmin_2,Pmax_2)内的各线段的斜率。EN为使能因子,当Udc_vm_2<Udcmea<Udc_vm_1时EN=0,否则EN=1。

如附录A图A1(c)所示,在电压裕度内,一个控制器控制直流电压而另一个控制器控制功率。因此附录A图A1(c)中的“A”点就是此时系统的稳态运行点(Pref,Udcref)。当直流电压超出附录A图A1(c)中的电压裕度时,直流电压控制型控制器从定直流电压控制变为定有功功率控制,而有功功率控制型控制器变为下垂控制。因此,新的稳态运行点将会是“B”或“C”点。k1和k2为两个下垂斜率。

一般情况下,k1和k2的取值不同。这是因为实际中换流站很难提升功率运行,多数情况仅有降功率运行的趋势,从而导致A点距离B或C点的距离不同。因此,根据A点位置,确定斜率k时,仅考虑k1或k2就足够了。因此在之后部分会提出一条改进的P-Udc特性曲线及其斜率k的计算方法。

2 换流站分组

通过将换流站分组,并对每个分组设计独有的电压裕度和死区,使每个分组在参与协调控制时具备不同的优先等级。这样,在暂态时确保系统具备良好的动态特性,在新稳态时确保优先等级低的换流站恢复其最经济的运行点。根据国际大电网组织CIGRE对柔性直流电网的定义[15],柔性直流电网应包含4个或更多个VSC。在所提策略中,将这些VSC分为以下几组。

1)第1组:控制系统直流电压的换流站(通常只有1个),即换流站采用直流电压控制型控制器,如附录A图A1(a)所示。

2)第2组:采用有功功率控制型控制器的换流站,控制器如附录A图A1(b)所示,并且该换流站接入的交流母线为强交流母线(SCR大于2)。

3)第3组:采用有功功率控制型控制器的换流站,但接入交流母线SCR小于2的换流站。

4)第4组:不能参与协调控制的换流站,如无源供电工况的VSC。

第1至4组换流站分组参与协调控制的优先等级是递减的。如果上一分组中的换流站均达到其功率限值且直流电压仍不能稳定,则下一分组的换流站启用下垂控制并参与协调控制。

这样分组依据和优先等级划分的依据是:①使得电压裕度和死区不受换流站个数变化的影响;②接入强交流系统母线的换流站在暂态中多承担协调控制任务,因为其交流母线抗干扰能力强;③接入弱交流系统的换流站尽量在暂态中少受影响,甚至不受影响。

当暂态故障发生后,新稳态运行点的直流电压将被尽量稳定在第1组或2组的电压裕度区间内。这样,第3组和4组的换流站将会保持其最经济的稳态运行点。在换流站允许的电压裕度区间内,其功率必须可达到规定的限值,这也决定了附录A图A1(b)中斜率k的最小值。所提出的换流站分组方法具有以下优势。

1)为实际工程应用提供了一个简单实用的协调控制方法,仅需要设计4个电压裕度。

2)所设计的4个电压裕度就可以适应换流站个数变化的情况,并不需要做任何修改。

3)在换流站允许的电压裕度区间内,可利用其最大的功率变化值来消除直流电网的不平衡功率。

4)确保接入弱交流系统的换流站在暂态中尽量少受影响,并在新稳态运行点回归其最经济的功率值,以减弱协调控制过程中对交流系统造成的冲击。

需要说明的是,本文提出的分组协调控制的方法并不要求每个分组都必须有对应的换流站,而是当有了这样特征的换流站,应分入对应的分组。

3 电压裕度与死区的选择

3.1 电压裕度与死区

第1至4组换流站参与协调控制的不同优先等级是通过设计每组的电压裕度区间UM1至UM4来实现的,如图1所示。各组的电压裕度区间由不同的电压值UL1至UL8构成。由于UM4与其他电压裕度区间不共享边界,因此无需为UM4设计电压死区。由于第4组的换流站不能参与下垂控制,因此当直流电压进入UM4时,该组换流站将被切除。需要注意的是,当直流电压进入UM4上(下)半部分时,仅切除第4组中的整流站(逆变站)。UM1至UM3的上下部分并不区分整流站或逆变站。

为了避免系统运行点在UM1和UM2或UM2和UM3的边界振荡,需要为第2组和第3组VSC的下垂控制引入电压死区,包括“激活区域”和“闭锁区域”,见图2(a)和图2(b)。

图2中,UWj(USj)是激活(闭锁)区域的电压门槛值,其中j=1,2(3,4)属于第2(第3)组并且奇数(偶数)代表上(下)半区域。第2(第3)组被激活的条件为Udc>UW1(UW3)和Udc<UW2(UW4)。第2(第3)组被闭锁的条件为US2(US4)<Udc<US1(US3)。当Udc满足激活(闭锁)条件时,相应换流站的下垂控制将被激活(闭锁)。

在设计第2或第3组的电压死区时,UWj的取值应该接近于ULj的取值,其中j=1,2,3,4。由于协调控制中换流站多为降功率运行趋势,上升(下降)的直流电压意味着直流系统应该从(向)交流系统吸收(释放)更少的功率。因此,当同一组换流站激活下垂控制时,整流站相较于逆变站应该对上升的直流电压更灵敏,而逆变站相较于整流站应该对下降的直流电压更灵敏。所以,整流站(逆变站)的UW1和UW3应分别略小于(大于)UL1和UL3。逆变站(整流站)的UW2和UW4应分别略大于(小于)UL2和UL4。

在图2(a)中,US1和US2取值应接近Udcref且US1<UW1和US2>UW2。但US1和US2之间应有足够空间容纳直流电压测量值中的波动。在图2(b)中,US3(US4)应略小于(大于)UL1(UL2)。

3.2 下垂斜率

图3中比较了原始P-Udc特性曲线和改进后包括激活和闭锁特性的P-Udc特性曲线。图3中H1至H6的取值会根据第2组或第3组的不同而异。

图3的P-Udc特性曲线中垂直于电压轴的线段并不表示定电压控制,而是启用/闭锁下垂控制时功率指令值的变化。图3中改进的P-Udc特性曲线包括激活和闭锁两部分,分别对应于图2(a)和图2(b)中的激活区域和闭锁区域。

原始和改进的P-Udc特性曲线主要区别为:①改进的P-Udc特性曲线将附录A图A1(b)中的Udc_x直接赋值为Udcref,而原始P-Udc特性曲线需要在H2或H5之间选择;②改进的P-Udc特性曲线的下垂斜率k是由降功率运行部分线段的斜率决定的。因此,改进的P-Udc特性曲线具备以下优势。

1)简化了Udc_x和k的求取过程。原始P-Udc特性曲线需要判断Udc_x=H2或H5后才能计算k。不同的Udc_x和k值分别应用于提升或降低换流站功率绝对值的工况。由于实际工况中,换流站很难提升功率绝对值,多为降功率运行,因此1组重点考虑换流站降功率运行工况的Udc_x和k值即可满足要求。

2)使新稳态运行点的直流电压更接近Udcref。例如,在图3中当Udc小于H5时,下垂控制被激活。假设新稳态运行点的有功功率为零,那么采用改进P-Udc特性曲线时的直流电压在H4和H5之间,而采用原始P-Udc特性曲线的直流电压则小于H5。因此,采用改进P-Udc特性曲线的下垂控制可使换流站在新稳态运行点得到一个更接近Udcref的直流电压。

采用图3中改进P-Udc特性曲线时,由于Udc_x=Udcref,附录A图A1(b)中下垂斜率k可由式(1)计算。

式(1)中给出了可使换流站在允许的电压裕度区间内达到其功率限值的最小k值,而关于k值的优化问题则不在本文讨论的范围内。图3给出了一个Pref接近Pmin而远离Pmax的例子,因此k值等于由Pref至Pmax的线段的斜率。如果当Pref接近Pmax而远离Pmin时,k值则由Pmin至Pref的线段斜率决定。式(1)利用最大值函数max()囊括了以上两种情况。需要注意的是,式(1)中的电压和功率均为标幺值。

4 仿真验证

4.1 仿真系统

采用文献[16]中的交直流混合系统来测试所提出的新型下垂控制策略的有效性,如图4所示。该系统的交流部分是基于IEEE 39节点标准测试系统[17]的修改版本,即加入了5端MMC-HVDC系统。直流系统电压为±320 k V,每个MMC桥臂包含400个半桥子模块。

MMC4为孤岛运行且连接着一台同步发电机(可等效离岸风机群)。直流输电网络包括3条架空输电线和4条地下电缆输电线。直流系统的详细信息见文献[16]和附录A表A1。功率正方向规定为从交流系统流入直流系统的方向。

稳态时,MMC3控制直流电压,其他MMC控制注入或流出直流系统的功率。MMC5为无源负荷供电,采用M-δ控制[14]。

4.2 电压裕度、死区和下垂斜率

根据附录A表A1中MMC接入交流母线的SCR值以及各MMC的控制方式,可将5个换流站分组如下:MMC3和MMC2分别组成第1组和第2组;MMC1和MMC4组成第3组;MMC5为第4组。各分组的电压裕度区间如附录A表A2所示,死区门槛值如附录A表A3所示。由于MMC5不能以整流站方式运行,因此附录A表A2中UL7没有取值。附录A表A2和表A3中的参数均是标幺值,电压和功率的基准值以附录A表A1中稳态运行点的电压和功率值为准。

附录A表A2说明采用所提出的新型下垂控制时允许系统直流电压在其初始稳态值的±10%范围内波动。当直流电压小于0.7(标幺值)时,MMC5将被切除,从而以减轻负荷的方式试图稳定下降中的直流电压。在附录A表A3中,UWj的取值很接近ULj的值(j=1,2,3,4)。为了使整流站(逆变站)在面对上升(下降)的直流电压时更灵敏地激活下垂控制,在第3组中MMC1的UW3和UW4值要比MMC4的略大一些。附录A表A4给出了各换流站的功率限值和由式(1)计算得到的下垂斜率k值。

4.3 动态效果评估

本节将测试图4所示的交直流混合系统在应用所提出的新型下垂控制策略的条件下,暂态过程是否具备良好动态特性。图4所示系统的初始运行点为附录A表A1所示的稳态运行点。所测试的暂态故障包括换流站和直流输电线路的N-1故障。

测试1:换流站N-1故障

在t=7 s时,系统切除MMC3,具体过程为:①MMC3的交流侧断路器先断开;②大约10 ms后MMC3直流侧电流为0,此时断开直流侧断路器。附录A图A2给出了系统的暂态响应过程。

附录A图A2(a)给出了各MMC直流电压在暂态时的变化过程。由于在7 s时系统发生暂态故障,所有MMC的直流电压从1(标幺值)跌落至0.869,该值不仅小于MMC2的UW2也小于MMC1和MMC4的UW4。因此MMC1,MMC2和MMC4启用下垂控制,直流电压开始回升。MMC1和MMC4的直流电压分别在7.23 s和7.24 s时大于其下垂控制的闭锁区域门槛值US4,并且再未小于过各自激活区域的门槛值UW4,因此这两个换流站的下垂控制闭锁,回到初始运行点的定功率控制。MMC2的直流电压从7 s至11.7 s内多次重复进入/退出其下垂控制的激活区域和闭锁区域。在11.7 s后,MMC2的Udc进入其下垂控制的激活区域并再未进入闭锁区域。因此,系统新稳态运行点的直流电压由采用下垂控制的MMC2控制。MMC5的直流电压在整个暂态过程中始终大于UL8=0.7,这说明直流电压未进入第4分组的电压裕度内,因此MMC5保持常规运行模式。附录A图A2(a)说明,当采用所提出的下垂控制策略时,即使切除控制直流电压的MMC3也并不会导致系统直流电压崩溃。

附录A图A2(b)展示了暂态中换流站与交流系统交换功率的变化。在7 s时,由于故障后直流电压跌落且第2,3组的换流站启用下垂控制,MMC1,MMC2和MMC4迅速调整其功率来消除直流系统内不平衡功率以稳定直流电压。在暂态过程中,第2组的MMC2的功率变化较第3组的MMC1和MMC4的功率变化更为明显。这说明第3组的MMC较第2组的MMC在暂态过程中受冲击影响更小。当直流电压在7 s开始降低时,第3组内逆变站MMC1较整流站MMC4的有功功率变化更为明显。这说明所提出的下垂控制可以使同一分组中的逆变站比整流站在面对下降的直流电压时,可更灵敏地激活下垂控制。这样的做法符合实际工况中换流站多为降功率运行的要求。在t=50 s后,系统过渡至新稳态运行点,MMC2改变自己功率以控制直流电压而MMC1和MMC4的功率回归初始运行点。MMC5的功率在暂态过程中几乎未受到明显影响。

附录A图A2(c)给出了发电机G1至G3的动态响应特性。G1和G3的输出功率在暂态时有更明显的波动而G2的转速波动更为明显。这是因为G2为孤岛运行状态,且暂态过程中与G2相连接的MMC4的功率未有较剧烈的变化。本例中,故障引起的系统潮流变化基本由除G2外的其他发电机共同承担。

测试2:直流输电线N-1故障

测试2的初始运行点与测试1的相同,即附录A表A1所示的稳态运行点。目标直流输电线为图4中Bus D和Bus F之间的输电线,因为该线路负荷最大。在7 s时,目标线路发生接地短路故障,1 ms后保护设备探测到故障位置并在另1 ms后切除故障线路[15]。附录A图A3给出了系统暂态响应过程。

附录A图A3(a)显示了切除线路后各换流站直流电压变化过程。在7 s时,MMC1和MMC2的Udc下降但其他MMC的Udc上升。MMC1的Udc始终大于其下垂控制的闭锁门槛值US4,因此MMC1的下垂控制始终闭锁。MMC2的Udc在7 s时下降并小于其下垂控制的激活门槛值UW2,但0.24 s后就大于闭锁门槛值US2。之后在7 s至8.68 s内,MMC2的Udc重复进入/退出其下垂控制的激活区域和闭锁区域。在8.68 s后,MMC2的Udc进入下垂控制的闭锁区域并再未进入过激活区域。因此,MMC2的下垂控制在8.68 s后处于闭锁状态。MMC4的Udc在7 s时大于其下垂控制的激活门槛值UW3,但0.27 s后就小于闭锁门槛值US3,因此MMC4的下垂控制先激活后立刻闭锁。

MMC3是控制系统直流电压的换流站。在故障发生后,MMC3试图将上升的直流电压(峰值1.034)降至初始运行值(1)。MMC5在暂态过程中并未参与调节直流电压。在附录A图A3(a)所示过程中,MMC2和MMC4的下垂控制曾被激活并协助MMC3控制Udc。在新稳态运行点,MMC2和MMC4的下垂控制闭锁,直流电压仍被MMC3控制。当直流线路被切除后,直流系统内潮流重新分部,导致各MMC的新稳态直流电压有微小变化,如附录A图A3(a)所示。

附录A图A3(b)给出了暂态过程中MMC与交流系统交换功率的变化过程。由于MMC2和MMC4在暂态过程中激活过下垂控制以消除不平衡功率和稳定直流电压,因此这两个换流站的功率变化较MMC1和MMC5的功率变化更为明显。在t=20 s后,系统过渡至新稳态运行点。

附录A图A3(c)展示了发电机的暂态变化过程。由于直流输电线路被切除仅造成了直流系统内部的潮流重新分布,交流系统的运行点并未受到太大影响。因此附录A图A3(c)中的发电机功率和转速变化并不如附录A图A2(c)中的剧烈。

5 结语

本文提出了一种适用于柔性直流输电网的新型下垂控制策略。该策略可以保证直流系统和交流系统交换功率的平衡,并提出了一条改进的P-Udc特性曲线保证换流站具备更好的动态特性,即新稳态运行点的直流电压更接近原始运行点的直流电压。所提出的新型下垂控制策略具有以下特点。

1)将直流系统内的换流站分为4组,并通过为每组设计独有的电压裕度和死区来确定其参与协调控制的优先等级。这样可使已有的电压裕度和死区不再受直流系统内换流站个数变化的影响。在暂态过程中各组换流站可以激活下垂控制,消除系统内不平衡功率并稳定直流电压。在新稳态运行点,优先等级低的换流站闭锁下垂控制并将功率调整至最经济的初始运行点。因此,既提供了暂态下良好的动态特性,又保证了新稳态下经济的运行特性。

2)提出了改进的P-Udc特性曲线,使得新稳态运行点的直流电压值更接近初始运行点的直流电压值。同时,改进的P-Udc特性曲线还重点考虑了换流站在实际工程中受设备耐流能力的限制,仅能降功率运行的特性。这使得所提出的下垂控制策略更具备实践的可行性。

针对所提出的下垂控制策略,未来需要根据交直流系统的动态特性(如暂态下发电机和换流站功率、电压和电流的过调量和调整时间)来优化各换流站的电压死区门槛值和下垂斜率。

直流电压利用率 篇5

关键词:静止同步补偿器,链式多电平,逆变器,直流侧电容电压,冗余,电压控制

0 引言

链式结构[1,2]是实现高压大容量的重要拓扑结构,由直流侧相互独立的全桥模块串联组成。与变压器多重化结构相比,该结构省略了变压器,节约了成本,但带来显著优点的同时也带来了问题[3,4]。各模块直流侧电容互相独立、直流侧电容电压不平衡是链式STATCOM[5,6,7]在实际应用中必须解决的问题。文献[8-9]分析了链式结构中造成直流侧电容电压不平衡的原因,谐波和串联型损耗的差异不会造成电容电压不平衡;电容器容量差异会影响动态过程中电容电压的分配,但不会造成稳态时电容电压不平衡;稳态时电容电压的不平衡主要是由于混合型损耗差异、并联型损耗差异以及脉冲延时不同造成的。现有的一些直流侧电压平衡控制的方法[10,11,12]应用到链式STATCOM中,取得了较好的性能,事实上,直流侧电压平衡的控制也一直是研究的热点和难点。

本文首先对链式STATCOM调制策略进行了分析,指出调制策略也能造成直流侧不平衡,在此基础上提出一种新的基于电压冗余状态的链式STATCOM直流侧电压平衡控制策略,其直流侧电容电压平衡控制与STATCOM控制系统相互独立,系统控制部分只需产生所需的参考波形即可,省略了直流侧电压平衡调节PI环,随着链式个数的增加,算法的复杂度略有增加,所需硬件资源少,扩展和实现方便。

1 STATCOM调制策略分析

相移载波调制PSCPWM(Phase-Shifted Carrier Pulse Width Modulation),通过比较参考波和载波输出PWM波形,具有控制简单、实时性好等特点,适用于链式多电平调制。以双极性相移载波调制为例,在N个模块串联的链式逆变器中,每相均采用N个具有相同频率fc、相同峰峰值Ac的三角载波,将各三角载波的相位互相错开2π/N,分别与一个频率为fm、幅值为Am的正弦调制波相比较,如果调制波的幅值大于载波则开通相应的开关器件,反之则关断相应的开关器件,单相2 H桥链式结构和相移载波调制的原理分别如图1和图2所示。

载波和调制波都是周期性波形,通常采用双重傅里叶级数来对输出电压波形进行分析,N个H桥串联时,整体输出电压表达式如式(1)所示:

其中,J2n-1(m Mπ)为Bessel函数,UP为正桥臂输出电压,N为级联的H模块数,M为三角波频率与参考波频率之比,ωm为调制波角频率,ωc为三角载波角频率。

经过相移载波双极性调制后,在不提高单个开关频率fc(fc=ωc/(2π))的前提下,每相等效的开关频率为N fc,整体开关频率提高了N倍,极大提高了整相输出电压谐波性能,特别适合于链式多电平变流器。公式mωct+nωst=±ωst反映了三角波频率对基波的影响,在级联多电平逆变器中,载波频率低(一般为500 Hz以下),即N较小,满足条件的m也就比较小,低阶Bessel函数的影响不可忽略,这将造成模块输出基波相位、幅值的差异,在STATCOM中,直流侧电容取值有限,造成电流对直流电容充放电时间不同,这种差异使得在理想无损情况下,链式结构各个直流电压也会有很大的不平衡。

2 新型直流侧平衡控制策略

针对直流侧电容电压不平衡,利用链式结构输出电压存在较多的冗余开关状态,本文根据电流方向和输出电压冗余状态的不同,有选择地交换各个桥的触发脉冲来平衡直流侧电压[13]。文中以单相4 H桥拓扑为例进行分析,表1给出了总输出电压值和对应各个H桥的输出电压值,可以看出除输出电压值为±4Udc时没有冗余状态,其他状态下均有冗余。

当流入变流器的电流I>0、模块输出电压为+Udc时,直流电容充电,直流电容电压升高;当模块输出电压为-Udc时,直流电容放电,直流侧电压降低;当电流I<0时情况相反,所以当输出状态和电流方向不同时,直流侧电压的变化趋势也不同。

本文所采用的方法是当直流侧电压不平衡超过一定范围时,将各个直流侧电压进行排序,然后根据电流方向和输出状态,选择合适的冗余状态进行交换,将直流侧电压最高的模块的开关状态向使直流侧电压降低的开关状态交换,同时把直流侧电压低的模块的开关状态向使直流侧电压升高的开关状态交换,使两者向平均值方向变化,减小直流侧电压不平衡[14,15]。

当Udc4>Udc2>Udc1>Udc3时,4个桥臂的输出状态依次为+Udc、-Udc、-Udc、+Udc,电流方向为流入,则电流对Udc4充电,对Udc3放电,该状态使不平衡加大,根据提出的方法,桥臂总的输出电压为0时,该输出电压具有冗余状态,故可以将输出脉冲交换为+Udc、-Udc、+Udc、-Udc,让电压最高的模块放电,而电压最低的模块充电,使得电容电压趋向于平衡。平衡控制策略实现的原理框图如图3所示。

对单相4 H桥进行仿真分析,其中一个H桥的输出电压uo仿真波形如图4所示。

3 直流侧电压平衡控制策略的改进

由图4可见,采用所提的方法后,开关频率明显增大,截取输出波形0.02 s至0.03 s之间输出电压和直流侧电压的波形(见图5)进行分析(假设输出容性电流),该时间段电流为正,0.02 s时刻H桥输出电压为正,对电容充电,电容电压升高;随着对电容的充电,该模块直流电压逐渐升高,高于平均值,出现直流侧电压不平衡,根据提出的平衡控制策略,将该输出的正电压与其他某个输出为负电压的模块进行交换,交换后,该模块输出电压为负,电容放电,直流电压降低;随着该模块直流侧电压降低,电容电压又满足平衡条件,然后恢复H桥原先的触发脉冲,输出电压变为正,电容电压再次升高;一段时间后直流侧电压又出现不平衡时,根据判断再次交换脉冲,这种交换循环往复,导致开关频率严重增加。

考虑到上述开关频率增加的情况,基于提出的平衡控制策略,在交换判断依据中加入一个滞环比较器,当不平衡出现时,根据电流方向,若直流侧电容电压最大和最小对应的输出电压有冗余,则交换触发脉冲,从而使最大电容电压值降低,最小电容电压值升高;然后保持这个触发脉冲,直到直流侧最小电容电压值与最大电容电压值之差大于平均值的一定范围为止。对改进直流侧电压平衡方法进行仿真分析,其中一个H桥输出电压波形如图6所示,从图可知开关频率大为降低,且能保证直流侧电容电压平衡且不影响输出电压波形质量。

4 仿真结果分析

本文针对基于电压冗余状态的直流侧电压平衡方法和改进平衡方法,对4 H桥链式STATCOM进行了仿真分析。仿真参数:系统电压为2 200 V,直流侧电容为5 000μF,三角载波频率为500 Hz,调制比为0.9,参考波滞后系统电压0.04 rad。不对直流侧电压平衡进行控制时的仿真波形如图7所示,可以看出4个H桥直流侧电压出现了明显的不平衡,时间越长,出现的直流侧不平衡越明显,在理想情况下也会出现直流侧不平衡,所以必须对直流侧电容电压不平衡进行控制。

在理想情况下,采用脉冲循环交换方法,对4 H桥STATCOM进行仿真分析,其直流侧电容电压瞬时值和平均值波形如图8所示。由图可知,在理想情况下直流侧电压是平衡的,平衡所需时间较长,直流侧惯性较大,效果不太理想。

计及各种损耗造成直流侧电容电压的不平衡时,脉冲循环交换只能消除调制所带来的不平衡,不能解决器件个体差异造成的不平衡。仿真是针对4 H桥进行的,在其直流侧加入了一个等效损耗的10 kΩ并联电阻,循环交换直流侧电容电压的波形见图9。由图可知,依然存在直流侧电压不平衡现象。

对本文所提出的直流侧电压平衡控制新方法进行仿真,仿真结果如图10所示。从图中可以看出,利用冗余状态来调节直流电压,直流侧电压维持在平均值附近上下波动。该方法能够很好地维持直流侧电压平衡,不影响输出电压波形质量,开关频率增加较少。

5 结论

直流电压利用率 篇6

动态电压恢复器DVR(Dynamic Voltage Restorer)装置是一种能够保护关键负荷免受供电端电压跌落、骤升等扰动的新型电力电子设备[1],其工作原理是:将DVR接于系统与用户之间,作为串联电压补偿装置,当检测到公共耦合点PCC(Point of Common Coupling)电压跌落时,补偿装置产生一定的电压,使负载侧电压保持额定值[2,3]。

多电平逆变器可降低器件的开关应力、频率和损耗,优化输出波形[4]。级联型多电平逆变器结构简单,技术成熟,易于封装和模块化,已广泛应用在有源滤波、无功补偿等电能质量控制领域[5]。现已逐步展开了对多电平DVR的研究[6,7,8]。

直流侧电压控制是DVR控制中的一个关键问题,当电网电压发生跌落或者骤升时,DVR与电网之间会存在有功能量的交换,其直流侧电容电压将发生变化。为保证直流侧电容电压为一个稳定值,须对直流侧电压进行稳压控制[8,9]。采用级联结构的DVR,不单独设置充电电路及并联变压器的可在线充电控制是极具吸引力的研究方向[10]。

现针对级联型DVR直流侧电压控制问题,通过对DVR稳态特性的详细定量分析,提出可以通过调节补偿电压相角来实现直流侧电压稳定控制,同时考虑了级联逆变器功率均衡问题。最后,应用Matlab/Simulink进行了仿真,证明了所提方法的有效性。

1 电路拓扑与控制原理

单相级联型DVR系统控制框图如图1所示,DVR由储能系统、输出滤波器、电压源逆变器及检测控制系统构成,在此,级联型五电平逆变器的储能单元仅由独立的储能电容组成。

DVR的控制系统主要由锁相环PLL、电压跌落检测、注入电压生成、独立电容控制器及载波移相PWM驱动脉冲生成等环节组成,其中,Udc为直流侧总电压。控制系统设计中,电压跌落检测中采用基于瞬时无功功率理论的dq0变换方法,该环节输出量为电网基波电压幅值US和相位跳变Δθ。关于电压跌落检测的dq0变换方法在文献[2,8]中已有详细的论述。注入电压生成是基于最小能量补偿控制策略,对直流侧电压采用PI闭环控制,其输出量为DVR注入电压的幅值和相角。独立电容控制器是针对级联型逆变器功率均衡问题,消除各个独立电容电压之间的偏差。该环节的输出为各个H桥输出电压的相角,载波移相PWM的调制方式下,只需要将其加到调制波上,即可控制交流输出相角为所需要的值。

2 直流侧电压控制方案

2.1 注入电压生成方法

当电网电压发生跌落,DVR注入补偿电压时与系统会有一定的有功和无功交换,无功功率的注入不会从直流侧电容带走能量,但是有功注入必然会消耗电容储能[6]。最小能量补偿策略[11,12]通过控制负荷电压相位使系统电流矢量和补偿电压矢量互相垂直,可以减少DVR与系统间的有功交换,从而在降低储能单元容量的同时,保证DVR有尽可能长的故障穿越能力。

对于功率因数确定的负载,负载电压和负载电流有确定的相角关系,假设负载为感性。图2所示为电压补偿策略矢量图。

图2中,移相角θ为系统电压和负载补偿后电压的夹角,调节θ的大小可改变补偿电压大小和相位,其值由下式给出:

δ为系统电流矢量和补偿电压矢量的夹角,根据图2,可以得到δ的计算公式:

从而,DVR补偿电压的幅值和相对于系统电压的相角分别如式(3)和式(4)所示。

图2中虚线AB是DVR吸收、释放能量的分界线[13]。当电网电压US落在阴影区域的圆弧上时,DVR从电网吸收有功;反之,DVR向电网注入有功。在不考虑电压补偿极限的前提下,若DVR要实现可同时具有吸收和注入有功的能力,应满足下式:

式(5)是一个很重要的判断条件,也是本文提出的控制方法可实现的前提。当US≤ULcosφ时,不能通过调节移相角θ来改变DVR发出功率方向,只有通过备用的外加辅助电路来对电容充电,以保证直流侧电容电压维持在额定值。

这一结论也可以通过DVR稳态功率潮流分析得出。如图3所示为单相DVR简化原理图。这里,US是电网相电压,UL是负载相电压,Udvr是DVR注入电压,IL是负载电流,标么量Usag为电压跌落深度。电网侧和负载端有功功率潮流可写为

则DVR注入有功功率为

考虑以负载电压UL和负载视在功率ULIL为基准值进行标么化,可得:

由式(9)可知,当cosφ=1时,PL恒大于零。这表明,负载为纯阻性时,DVR必然向系统注入有功功率。图4是cosφ=0.6时,DVR注入有功Pdvr与电压跌落深度Usag及移相角θ之间的关系示意图。

DVR发出的有功功率与直流侧电容储能的关系为

其中,C为DVR直流侧总电容;Udc0为直流侧平均电压(恒定值);ΔUdc0为Udc0的偏差。对式(10)右端在工作点Udc0附近进行小变量线性化处理,两边同时求导得:

由上式可知,直流侧电容电压的变化率取决于注入功率的方向和大小,注入功率Pdvr是移相角θ和电压跌落深度Usag的函数,因此,在一定的电压跌落下,调节移相角θ的大小,可以控制直流侧电容充放电,使其保持在正常的工作电压。

图5给出DVR注入电压生成控制框图。当发生电压跌落时,直流侧电压Udc下降,直流侧电压之和与参考值比较的差值经过PI调节器,作为移相角的微调量,实际输出的移相角θ增大,使DVR发出有功为负值,直流侧电容吸收来自电网的有功,其电压随之上升。最后,直流侧电压与给定信号Udcref达到相等时,PI调节器输出为零,移相角θ维持不变,DVR工作在最小能量补偿模式下,直流侧电压保持恒定。当发生电压骤升时,其调节过程相反。这样,通过调节移相角θ的大小实现直流侧电压稳定控制。

2.2 级联单元功率均衡方法

载波移相PWM调制是级联型多电平逆变器常用的调制方法。在该控制方式下,各级输出电压的PWM波形基本一致,理论上H桥单元之间不存在输出功率不平衡的问题[14]。

在级联H桥型DVR的实际工程中,由于各H桥之间的差异是不可避免的,仅靠外环调节不能保证每个电容电压平衡。为使装置安全可靠地运行,必须采取一定的平衡控制策略。一般是调节H桥和系统之间的相位差或者H桥输出电压幅值,实现电容电压的平衡[15]。这种调节方法不需要额外的硬件平衡电路,成本低。本文采用闭环PI控制调节相位差实现电容电压的平衡。

图6所示为独立电容控制器框图,将H桥的直流侧电压与全体H桥直流侧电压总和的平均值的差通过PI调节,其输出的Δα1及Δα2为载波移相PWM的正弦调制波所需要的相移,最后合成的正弦调制波的相位角α1及α2送给PWM信号调制单元。

3 仿真结果

在Matlab/Simulink中建立以实际系统为例的仿真模型,仿真参数如下:负载电压额定值为205 V(峰值304 V),频率为50 Hz,三相负载容量为3.15 kW,功率因数为0.537,各H桥单元直流侧电容C=5000μF,额定电压200 V,滤波器电感Lf=1.8 m H,电容Cf=350μF,PWM为载波频率3.2 kHz。

图7所示为发生三相对称电压跌落时电网电压和负载电压仿真结果。在t=0.06 s时出现三相对称电压跌落,跌落深度为28.9%(即跌落到额定值的71.1%),并且伴随有-9.2°的相位跳变,持续时间100 ms。比较图7(a)和7(b)可以看出,补偿效果较好,动态性能可满足实际工程的需要。

图8所示为直流侧总电压Udc的波形,图9所示为a相的注入电压uia和负载电流iLa波形。

由图8、9可以看出,在补偿电压跌落时,直流侧电容电压未发生较大波动,并且注入电压和负载电流相差90°,DVR注入的有功很少。

4 结论

为保证直流侧电容电压为一个稳定值,必须对直流侧电压进行稳压控制。能量优化补偿控制策略在实现DVR补偿目标的同时,由于减少DVR的有功输出,可以能够稳定直流电容的电压。本文在最小能量补偿策略的基础上,提出可以通过调节补偿电压相角来调节直流侧电压的控制方法,并对级联逆变器的独立电容电压采用均衡控制。仿真结果表明,运用所提出的控制算法可以实现预期的控制目标,该策略实用可行。

摘要:针对级联型多电平无串联注入变压器拓扑结构的动态电压恢复器(DVR),详细定量分析DVR的稳态工作特性。分析表明调节补偿电压相角,可以实现稳定直流电容电压。提出通过调节DVR注入电压相角实现快速补偿电网电压跌落的同时保持直流侧电压稳定的控制策略。该控制策略在最小能量补偿模式的基础上,将比例积分控制引入到注入电压生成方法中,DVR从电网吸收或发出有功功率,储能电容随之充电或放电以使电压恢复到额定值。为了减小直流电容间的电压偏差,进而提出采用闭环PI控制解决级联型多电平逆变器工作时H桥单元之间的电容电压均衡问题的方法。仿真结果验证了理论分析的正确性和所设计方法的可行性。

直流配电网的电压协调控制策略 篇7

面对经济的快速发展,用户对供电可靠性、电能质量等要求不断提高,传统的交流配电网面临着诸多挑战。相比于传统的交流配电网,直流配电网在分布式电源接入、增大输送容量、提高电能质量和供电可靠性等诸多方面有着显著优势[1,2,3,4]。

在直流配电网中,直流电压是反映系统平稳性的重要指标,直流电压稳定,就可以确保网络的功率平衡,维持系统运行平稳。直流配电网中电压协调控制策略的研究难点在于:①分布式能源的功率扰动会引起直流电压的波动;②因大容量换流器的投退或电网侧系统故障引起的剧烈功率波动可能会引起直流电压崩溃。

直流配电网的协调控制策略可参考多端柔性直流输电系统的控制策略。文献[5-6]提出的主从控制策略实现简单,原理清晰,但对换流站的通信要求较高,一旦通信失败,整个网络将面临崩溃的危险;文献[7]将自适应电压下降控制策略应用于多端柔性直流输电系统,多个换流站共同协调控制直流电压,不依赖通信,但是该控制策略难以实现潮流的自由控制,且当负荷较低时,多台定电压控制换流器的电压差值将在网络中引起环流,不利于系统平稳运行;文献[8]提出了直流电压偏差控制策略,从换流站通过检测直流电压变化而动作,该方法能实现定有功控制模式与定直流电压控制模式之间的自动转换,但在控制模式转换的过程中,直流电压变化较大,会对系统产生较大的暂态冲击;文献[9]提出了直流微网中的电压分层控制策略,各电力电子器件通过检测直流电压变化来协调各变流器的工作方式,该控制策略对直流微网可以实现有效控制,但直流配电网中一般有多个换流站与交流主网互联,因此该控制策略不适用于直流配电网。

本文综合电压偏差控制与下降控制两者的优点,设计了直流配电网的电压协调控制策略,既可以实现稳态运行时的精确潮流控制,又能够保证控制模式的平滑切换。各控制单元根据本地信息量选择动作方式,当直流电压波动较小时,主换流站控制直流电压;当系统发生较大扰动而使主换流站失去控制直流电压能力时,从换流站根据检测到的直流电压变化量进入下降控制;储能装置通过快速充放电实现控制策略的平滑切换。同时,该控制策略考虑到了直流电压的稳定裕度、换流器容量和储能装置荷电状态(state of charge,SOC)等实际条件,实现了多约束条件下的直流电压协调。为验证该控制策略对直流配电网的有效控制,基于PSCAD/EMTDC建立两端直流配电网的系统模型,并对不同运行模式下的系统进行仿真研究。

1 直流配电网的组成及协调控制策略

1.1 系统组成

根据系统的接线方式不同,直流配电网的拓扑结构分为放射式、环式和两端配电三种[1],本文只讨论后两种拓扑结构,均有多个接入交流电网的换流站,其中,控制直流电压的换流站为主换流站,剩余的换流站为从换流站。本文采用两端配电系统进行分析,如图1所示,该系统主要包括以下四部分。

1)并网换流站。直流配电网经模块化多电平换流器(modular multilevel converter,MMC)与交流主网相连。在系统正常运行时,从换流站控制有功功率,主换流站控制直流电压;当主换流站退出运行或因主网故障有功输入受限而失去调压能力时,从换流站将继续控制电压,维持系统平稳。

MMC有触发频率低且电能质量高的优点,其拓扑结构及子模块结构如附录A图A1所示,它由6个桥臂组成,每个桥臂由多个相互连接且结构相同的子模块与一个电抗器串联组成。MMC换流站的控制策略采用带前馈解耦的矢量控制,调制方式采用最近电平逼近调制(nearest level modulation,NLM)[10]。

2)分布式电源。直流配电网中的分布式电源主要包括直流电源和交流电源两大类。本文以光伏阵列和永磁直驱风力发电机(PMSG)为代表分析分布式电源的并网问题。这两种电源通过DC/DC变换器和AC/DC变流器接入直流母线,本文分别采用Boost变换器[11]和电压源换流器(VSC)[12]实现并网。正常运行时,通过调整端口电压和电流保证光伏阵列运行于最佳伏安特性曲线,通过控制开环桨距角保证直驱风机运行在最佳风能曲线,从而使光伏阵列和直驱风机均运行于最大功率点跟踪(maximum power point tracking,MPPT)模式[13],提高新能源利用率;当系统供电冗余时,应降功率运行。作为系统规划时需要考虑的问题,分布式电源在整个系统的渗透率不应过大,否则将影响系统的运行可靠性。

3)储能装置。储能装置采用蓄电池储能,通过双向DC/DC变换器接入直流母线,本文采用Buck/Boost变换器[14]。当蓄电池放电时,变换器工作于Boost模式,向直流母线提供电能;当蓄电池充电时,变换器工作于Buck模式,储存直流母线多余电能。

4)负荷单元。直流负荷通过DC/DC变换器或直接并入直流配电网,采用DC/DC变换器时可利用移相控制保证负荷侧直流电压稳定[15];交流负荷通过MMC换流站并入直流配电网,控制交流侧电压幅值和频率恒定[16]。系统有功输入不足需要减载时,负荷切除顺序由负荷的优先级确定。

1.2 稳态运行参考值的确定

在给定直流配电网拓扑结构及直流电压参考值前提下,整个网络的状态变量(包括各节点直流电压及主换流站输入功率)将随从换流站有功参考值的变化而变化,为保证给定运行状态下各电气量不越限,应通过潮流计算给出合理的调度方案[17]。

在计算直流配电网直流侧的局部潮流时,主换流站作为平衡节点,电压已知,无需计算;从换流站、负荷和分布式电源作为P节点,电压未知,从换流站直流侧功率为有功参考值减去换流站损耗,负荷功率和分布式电源功率作为不可控变量通过预测得到。

利用牛顿—拉夫逊法进行潮流计算,给出相应的收敛判据,多次迭代得到平衡节点的输入功率和P节点的节点电压,进而判断在给定参考值时各电气量是否越限,若某个电气量越限,则改变从换流站的有功参考值重新进行潮流计算,直至系统能够安全平稳运行。

1.3 直流配电网的电压协调控制策略

通过潮流计算,可以确保直流配电网在可预知的运行状态下平稳运行,但当负荷和分布式电源出现功率波动或大容量换流器突然退出运行时,应利用直流配电网的系统级控制实现电压快速稳定。

以换流器注入直流网络的功率参考方向为正,本文提出的电压协调控制策略如图2所示。主从换流站和蓄电池均为调压器件,分布式电源和负荷以恒功率单元处理。图2中:Udc为直流电压;Udcref为直流电压参考值;PG为主换流站输入功率;PGmin和PGmax分别为主换流站的最小和最大允许输入功率;PGref为稳态运行时主换流站的输入功率;PLi为第i个从换流站输入功率;PLmini和PLmaxi分别为第i个从换流站的最小和最大允许输入功率;PLrefi为稳态运行时第i个从换流站的输入功率;PBES为蓄电池的输入功率;PBES_min和PBES_max分别为蓄电池的最小和最大允许输入功率;PDG为分布式电源的输入功率;PDG_ref为稳态运行时分布式电源的输入功率;PLOAD为负荷功率;PLOAD_ref为稳态运行时负荷功率。

1)当直流电压波动不超过一定范围时,各控制单元端口直流电压稳定,主换流站控制直流电压,当输入功率超过额定值时,将转入限流模式,不再维持直流电压。主换流站的控制策略如附录A图A2所示,通过设定比例—积分(PI)调节器的上下限,保证输入功率不越限。

在实际系统中,为了保证功率流动,各节点电压不相等,控制策略转换的直流电压门槛值应大于各电力电子器件稳态运行时直流电压的最大波动范围,从而保证器件动作的可靠裕度,本文将主从换流站的控制策略转换门槛值定为(1±3%)Udcref。

2)当从换流站端口直流电压波动不超过±3%时,从换流站控制有功功率;当直流电压超出范围并且输入功率在额定值范围内时,采用定直流电压的下降控制;当输入功率超出最大允许范围时,转入定功率控制。

当系统中存在多个从换流站时,若所有从换流站均检测到端口电压低于0.97(标幺值)或高于1.03,则各从换流站相互配合,共同维持直流电压稳定;由于线路损耗会产生压降,若某个从换流站检测到的端口电压不低于0.97或不高于1.03,则该从换流站将继续运行于恒功率模式。在实际情况中,若要求各个从换流站控制直流电压的优先级别不同,则可根据从换流站的不同优先级别灵活设置不同的直流电压门槛值,当直流电压变化时,各从换流站依照各自直流电压门槛值由低到高的顺序依次进入电压控制模式。

图2所示的U-P下降特性曲线可表示为:

式中:PL为从换流站的输入功率;Pref为有功参考值,通过潮流计算给出;kB为下降系数,当系统中有多个从换流站时,可根据各个从换流站的不同容量特性设置不同下降系数,以增强控制的可靠性与灵活性;U*dc为从换流站进入下降控制的门槛值,分别为1.03或0.97。

从换流站的控制策略如附录A图A3所示,对电压控制器进行下降特性的调整,对3个PI调节器的输出取最大最小操作得到idref,实现了定有功控制模式与定直流电压控制模式之间的自动切换。

3)当蓄电池并网端口侧的直流电压波动在一定范围之内(本文定于±5%)时,蓄电池处于闲置状态;当直流电压超出范围时,蓄电池并网变换器进入下降控制,与从换流站相互配合,进行直流电压二次调整。较高的控制策略切换门槛值可避免DC/DC变换器在Boost与Buck模式间频繁切换,从而提高了蓄电池的运行寿命且减小了电力电子器件频繁动作引起的谐波[18]。

蓄电池的并网变换器的U-P下降特性曲线与从换流站类似,其中PBES_ref=0,U*BES_dc取为1.05或0.95。参考从换流站的控制策略,蓄电池并网变换器的控制策略如附录A图A4所示,其中Ibat为蓄电池的放电电流。

4)当系统出现较大功率缺额且各调压器件的输入功率均达到容量极限时,直流电压将长时间处于较小值,当负荷侧检测到直流电压小于0.95且经过一定延时后,负荷将按照优先级别分阶段进行切负荷操作,直至系统的直流电压恢复到0.95,切除负荷的功率总额最小值应满足:

当系统的分布式电源渗透率较高且系统负荷较小时,直流电压将长时间处于较大值,当分布式电源检测到直流电压大于1.05且经过一定延时后,应调整MPPT模式为降功率运行。分布式电源减少的输出功率最小值应满足:

直流配电网中各单元协调控制总体结构如图3所示,各控制单元根据检测到的端口直流电压等本地信息量进行模式选择,保证系统的平稳运行。

2 系统的运行模式及对应的控制策略

直流配电网通过换流站与交流主网联网运行,负荷侧对可靠性和电能质量的要求决定了主换流站出现故障退出运行或调节能力受限时,系统仍能够平稳运行,通过分析主换流站的工作状态可以分析网络的协调控制策略,本文根据主换流站的工作状态将直流配电网分为恒压控制模式、限流控制模式和退出运行模式三类。

2.1 恒压控制模式

此模式下主换流站正常工作,作为平衡节点控制直流电压,通过潮流计算给出的从换流站初始参考值确保系统的安全平稳运行,对应于图2的运行曲线①。若从换流站正常工作,风电机组和光伏阵列工作于MPPT模式,蓄电池充电一定容量后处于待机状态;若某一从换流站退出运行或输入功率受限,应根据直流电压波动范围调整系统运行策略。不考虑网损,该工作模式下主换流站的输入功率为:

当PGmin<PG<PGmax时,系统可以平稳地运行于该模式。

2.2 限流控制模式

该模式下主换流站无法继续作为平衡节点控制直流电压,由从换流站进行电压控制。该模式可能出现在以下2种情况。

1)主换流站输入功率超过换流器额定值时,换流站进入限流模式运行。根据进入限流模式前的换流站运行状态,限流模式分两类:由于整流电流越限而触发的限流模式称为“整流受限模式”;反之,由于逆变电流越限而触发的限流模式称为“逆变受限模式”。

2)交流系统故障导致电压跌落使得向直流配电网输送功率骤减时,主换流站进入限流模式运行。该情况下的主换流站工作点发生变化,当交流系统故障为三相接地短路,则PG为0。若交流主网发生的故障为永久故障,该运行曲线在主换流站切除之前将不再变化;若交流主网发生的是瞬时故障,故障清除后,主换流站仍运行于恒压控制模式。

该模式下主换流站输入功率有限,调压能力受限,直流电压有可能发生波动,当从换流站检测到端口直流电压波动范围大于±3%时,将进入下降控制模式,维持系统平稳。不考虑网损,该工作模式下从换流站的输入功率为:

当PLmin<∑PLi<PLmax时,系统可以稳定地运行于该模式;当∑PLi>PLmax或∑PLi<PLmin时,应切除次级负荷或减少分布式电源功率而使从换流站的工作点落在下降曲线区间内。

2.3 退出运行模式

该模式指的是交流侧断路器跳开,内部闭锁,主换流站与交流主网不再有功率交换。由于平衡节点的消失,系统中各个元件的端口电压将偏离直流电压参考值,当从换流站检测到其端口电压低于0.97或高于1.03时,将进入下降控制模式,维持电压稳定,对应的控制策略如附录A图A5所示。若换流站退出运行之前工作于整流模式,则从换流站有功输入增大PG,对应于图A5的工作点Ⅰ;若主换流站退出运行之前工作于逆变模式,从换流站有功输入减小PG,对应于图A5的工作点Ⅱ。

如果所有从换流站均运行于最大容量额定值且仍存在功率缺额时,直流电压将继续处于较低水平,负荷在检测到其端口直流电压且经过一定延时后按照负荷优先顺序分阶段进行切负荷操作,直至电压恢复;如果所有从换流站均运行于最小容量额定值且存在功率盈余时,直流电压将继续处于较高水平,分布式电源根据检测到的端口直流电压选择降功率运行,直至电压恢复。

2.4 模式切换过程

在上述任意两种模式互相切换过程中,若系统功率出现大幅缺额或盈余,直流电压将短时出现较大的波动幅度,变化范围可达到|ΔUdc|>5%,对应于图2曲线④⑤。利用蓄电池与从换流站的配合实现主从换流站控制策略的无缝转换,尽量减小控制策略切换过程中由于功率不匹配带来的暂态冲击。若从换流站的功率输出越限,则从换流站以PLmax或PLmin恒功率运行,不参与调压,对应于图2曲线⑥⑦。

由于蓄电池的容量有限,应保证蓄电池的SOC值SSOC维持在一定范围(本文取为40%<SSOC<80%),避免蓄电池运行在深度放电和深度充电状态,保证其运行寿命[19]。对蓄电池的SOC实时监测,当监测到SOC值超过该范围(SSOC>80%或SSOC<40%)时,关闭蓄电池,利用分布式电源或者负荷单元的配合实现模式切换。

3 仿真分析

为了验证本文提出的直流配电网电压协调控制策略的有效性,采用PSCAD/EMTDC软件仿真,所搭建直流配电网的拓扑结构如图1所示。直流母线额定电压为±7.5kV,两端电网电压均为10kV,通过网侧变压器将电压降为6kV。

MMC换流站子模块的电容额定电压为0.8kV,每个桥臂采用30个子模块组成,子模块电容值为1 000μF,并网等值电阻和电感分别为0.02Ω和1mH,桥臂滤波电抗的电感为4 mH,仿真时对MMC换流站进行戴维南等效处理以加快仿真速度[20]。主换流站容量为2 MW,无功参考值为0;从换流站容量为2.5 MW,无功参考值为0,U-P特性曲线的下降系数为-1 MW/kV。

小型永磁直驱风力发电系统容量为1.5 MW,风机额定风速为10.5 m/s,切入、切出风速分别为3m/s和15m/s,交流侧输出电压为0.69kV,额定频率为12.18Hz,通过VSC并网运行;光伏阵列由光伏电池板的串并联组成,短路电流为0.65kA,开路电压为2kV,最大功率点电压为2.13kV,最大输出功率为1.3 MW,通过Boost变换器并网运行;储能装置由铅酸蓄电池的串并联组成,容量为0.6 MVA/0.5 MW,SOC最大值和最小值分别定为0.8和0.4,SOC初值定为0.8,U-P下降系数取为-2 MW/kV。初始条件下光照强度为1 000 W/m2,温度为25℃,风速为10m/s。

交流负荷为2 MVA,功率因数为0.95,额定电压为0.38kV,并网换流站的交流电压参考值为6kV;直流负荷为3 MW,直接并入直流配电网。

节点参数和线路参数如表1和表2所示,其中,规定各单元注入直流配电网的功率方向为正,从换流站的有功参考值暂定为2.25 MW,Pmin和Pmax分别为节点的最小和最大输入功率,不考虑直流负荷接入直流配电网的损耗率。

编制潮流计算程序,得到各节点直流电压及输入功率如表3所示。

由表3可知,在该运行状态下,各节点直流电压偏差均不超过0.01,且主换流站输入功率不越限,系统可以平稳运行。下面分别对不同运行模式进行仿真,直流电压均采用标幺值。

3.1 恒压控制模式仿真结果

图4给出了当分布式电源功率输出变化时的仿真结果。图4中:Pw和PPV分别为风机和光伏功率。1.5s之前,稳定运行结果与潮流计算结果基本一致,如图4(a)和图4(d)所示。

1.5s时,风速变化至7 m/s,光照强度变化至500 W/m2,由图4(b)和图4(c)可知,分布式电源功率输出共减少约0.9 MW,由于功率缺失,直流电压下降,如图4(a)所示,在100ms后直流电压出现最小值0.98,主换流站通过PI控制器的调节增大输入功率,如图4(d)所示,在400ms后将直流电压稳定为1;2.5s时,风速变化至9 m/s,光照强度变化至1 200 W/m2,分布式电源输出增加约0.9 MW,由于功率盈余,直流电压上升,如图4(a)所示,在100ms后直流电压出现最大值1.015,主换流站通过PI控制器的调节减小输入功率,如图4(d)所示,在200ms后将直流电压稳定为1。

该模式下的直流电压稳定于0.97~1.03,对应于图2的运行曲线①,通过主换流站与交流主网的功率交换调整实现直流电压稳定。由于从换流站和蓄电池检测到的端口电压均未超过界限,所以两者均不参与系统调压。

3.2 限流控制模式仿真结果

图5给出了直流配电网工作于限流控制模式下的仿真结果。风速和光照强度不变,分布式电源输出功率保持1.75 MW恒定,从换流站初始有功参考值为2.25MW,1.5s之前的运行曲线与恒压控制模式一致。

1.5s时,大容量直流负荷突然投入,直流负荷由3 MW增加到4.5 MW。由于系统有功出现大幅缺额,直流电压骤降,如图5(a)所示,主换流站通过增大输入功率以维持功率平衡,但受限于主换流站容量,其无法满足系统缺额,主换流站转入限流模式运行,如图5(b)所示。由于系统仍存在功率缺额,直流电压将继续下降,约1.55s时,从换流站检测到端口直流电压下降为0.97,其控制策略转为下降控制,有功输出增大,如图5(c)所示;约1.6s时,蓄电池并网变换器检测到直流电压下降为0.95,蓄电池也转入下降控制策略,开始快速放电,如图5(d)所示。1.75s时,直流电压稳定于0.94,从换流站输入功率稳定于2.5 MW(额定功率),蓄电池输出功率为0.4 MW,对应的控制策略为图2曲线⑦。

经过短时调整后,主从换流站输入功率均达到极限,且蓄电池的SOC持续减小,如图5(e)所示,因此直流电压仍小于0.95。负荷侧检测到端口电压后经过一定时间的延时,切除1.5 MW的次级负荷,由于功率盈余,200ms后直流电压升高至1,从换流站和蓄电池相继检测到端口电压达到0.95和0.97,从换流站由下降控制转为恒功率控制,蓄电池停止放电,此时SOC为0.47。

3.3 退出运行模式仿真结果

图6给出了直流配电网工作在退出运行模式下的仿真结果,对应于附录A图A5的运行曲线。2s时,主换流站交流侧断路器跳开,输入功率降为0,如图6(b)所示,系统只通过从换流站与交流主网相联。

由于平衡节点的消失,主换流站的输入功率需由从换流站承担,从换流站输入增大,如图6(c)所示,则电压下降,如图6(a)所示。2.05s时,从换流站检测到直流电压下降至0.95,其控制策略切换为下降控制;2.15s时,蓄电池检测到端口电压达到0.97,其控制策略也转入下降控制,快速放电,如图6(d)所示。从换流站和蓄电池均以最大输出功率运行,但输入功率仍小于负荷,电压仍将下降。负荷侧检测到直流电压小于0.95后在2.25s时切除0.5MW负荷,此时功率盈余,电压上升,2.5s时,直流电压达到0.95,蓄电池停止放电,SOC稳定于0.72,从换流站也相应地减小功率输出,约在2.65s时电压稳定于0.97。

由于稳定后由从换流站为下降控制,因此直流电压并不等于1。整个协调控制过程中电压变化平缓,系统不会产生大的暂态冲击,实现了各个模式之间的平滑切换。

4 结语

直流电压是保证直流配电网功率平衡的重要条件,本文提出了多约束条件下的直流配电网电压协调控制策略。各电力电子器件的控制切换条件由直流电压变化量、并网器件容量以及蓄电池SOC决定,以上变量均为本地信息量,不依赖通信,实现了各电力电子器件的分散自律控制,同时通过协调各器件的工作方式保证了系统的高可靠性。

上一篇:若干关系下一篇:新闻宣传工作者