1553B总线协议

2024-07-12

1553B总线协议(共7篇)

1553B总线协议 篇1

0 引 言

用于车辆、舰船、飞机等机动平台上的电子设备越来越多,并且越来越复杂。将电子设备加以有效的综合,使之达到资源和功能共享已成为必然趋势。电子综合的支撑技术是联网技术,而机动平台上的联网技术不同于一般的局域网技术,它特别强调网络的可靠性和实时性。1553B总线是在20世纪70年代末为适应飞机的发展,由美国提出的飞机内部的电子系统联网标准;其后由于它的高可靠性和灵活性而在其他机动平台上得到了广泛应用。国内上世纪80年代中期已开始接触这类技术,目前它的研究与应用范围正在迅速扩大。

1553B总线标准由美国军方制定。支持该标准的协议芯片有DDC公司的BU-6517X,BU-615XX系列;HOLT公司的HI-61XX系列;UTMC公司的BCRTM等。这些芯片都集成了BC,RT,BM的功能,但是这些芯片都由美国公司生产,价格非常昂贵。为了能低成本地使用这种可靠性非常好的数据总线,采用FPGA设计并实现远程端点的数据链路层协议,通过外接一个总线收发器完成1553B远程端点协议芯片功能。

在电子设计领域,可编程逻辑器件的广泛应用为数字系统的设计带来极大的灵活性,1片FPGA芯片可代替上百个IC电路。Altera公司开发的Cyclone系列FPGA芯片价格便宜,功能强大,而且配套的开发软件Quartus Ⅱ更是集成了时序仿真、编译、综合、优化等功能,这为实现1553B总线远程节点通信协议提供了有利条件。文中所实现的1553B总线远程端点数据链路层协议采用VerilogHDL语言编写;采用ModelSim仿真;采用Quartus Ⅱ编译、综合、优化,并在Altera 的EP1C6系列FPGA上实现。

1 1553B总线及其接口功能

1553B的全称是:飞机内部时分制指令/响应式多路传输数据总线。它对物理层,如:传输介质、屏蔽条件、耦合方式、阻抗匹配以及输入输入兼容性等都做了严格规范。1553B总线是以串行数据脉冲的形式进行传输的,其数据用双相曼彻斯特码的形式来表示,其传输速率为1 Mb/s。1553B数据总线上连接有3种类型的节点:

(1) 总线控制器(BC):控制总线上的数据传输;

(2) 远程终端(RT):响应BC命令,执行相关操作;

(3) 总线监视器(BM):有选择地接收数据总线上的信息并保存。

飞机上的每个子系统可以通过1553B总线连接到任何一个系统中并与其通信。

在总线上传输字有3种类型:命令字、状态字和数据字。每种字的字长为20位,有效信息位为16位,每个字的前三位为同步字头,最后一位为奇偶校验位。有效信息(16位)及奇偶校验位在总线上以曼彻斯特码的形式进行编码,每位占的时间为1 μs。同步字头占3位,或先正后负(命令字、状态字)或先负后正(数据字),正、负电平各占1.5 μs,即占同步头位场的50%。由于系统类型的不同,可辨别出命令字和状态字,命令字由总线控制器发出,而状态字由远程终端RT发出。

作为1553B总线的远程端点,应完成以下功能:

(1) 将总线上的串行信息流转换成微控制器可以处理的并行信息或者与之相反;

(2) 接收或者发送信息时,能够识别或生成标准的1553B信息字;

(3) 完成与微控制器之间的信息交换,包括1553B信息地址的分配、命令字的译码或返回状态字、发送数据字等。

1553B远程端点数据链路层协议需要完成上述的1553B远程端点的大部分功能,包括同步头添加与检测,曼彻斯特码编解码,命令字译码与接收数据字,状态字反馈与收发数据字,数据缓冲以及与微控制器之间的接口等。整个数据链路层协议内部采用寄存器控制的方式进行操作,上层微控制器通过读写实现程序的寄存器,以完成对协议的控制,而内部操作也是根据相关寄存器的状态来进行的,因此设计时,首先定义相关寄存器,根据数据线的宽度,所有寄存器都定义为16位。

(1) 控制寄存器:

它完成内部时钟、总线端口选择、节点状态设定、状态字保留位、节点ID以及全局复位等状态的设置和操作。

(2) 错误寄存器(ER):

当通信协议中出现了奇偶校验错误,数据不连续错误,接收数据个数错误,接收FIFO错误,发送FIFO错误时就会置位其相关位,上层微控制器通过读取ER就知道错误的类型。

(3) 状态寄存器(SR):

SR中反映了当前数据链路层协议的状态,包括接收数据状态、接收FIFO长度、发送FIFO长度、接收错误状态、中断信号状态nINT和nINTD。

(4) 接收命令字寄存器(RCR):

RCR用于保存当前接收到的命令字。

(5) 发送矢量字寄存器(SVWR):

该寄存器用于存放总线控制器要求的矢量字,这个矢量字由微控制器写入。

(6) 接收同步字寄存器(SYNDR):

用于存放接收到的带数据字同步命令中的数据字。

(7) 自测结果寄存器(STRR):

STRR用于存放上次自测的结果。为了方便地址分配,设计中把发送和接收FIFO都作为一个16位寄存器来对待。

21553B远程端点数据链路层协议的FPGA实现的总体设计

1553B远程端点数据链路层协议的FPGA实现一共分为4个模块:序列解析模块、命令解析模块、发送模块和上层交互模块。整个协议实现采用时序驱动的方式进行,时钟频率为8 MHz,下面就按照数据进入的顺序介绍整个协议的FPGA实现过程。

2.1 序列解析模块

这个模块接收从收发器接收的信号序列,先后进行同步头检测,曼码解码,奇偶校验,ID检测等步骤,最后给命令解析模块一个只包含命令信息的16位数据,以及其字特性。其实现流程如图1所示。

序列解析模块首先对进入的总线序列进行同步头检测,如果有效,则进行曼彻斯特码解码。曼彻斯特码解码是在同步头检测有效时同步开始的,它在同步头检测有效后每隔1 000 μs对序列采样一次,并把采样值作为解码值,同时判断在采样时序列是否发生了跳变,如果发生,则说明序列满足曼彻斯特码编码,否则认定序列存在编码错误,停止解码并置位错误寄存器,而第一次采样是在同步头检测有效后250 ns。解码完成后进行奇偶校验,接收模块对有效序列进行奇偶校验,如果奇偶校验错误,则丢弃这个序列,同时置位消息差错位;如果奇偶校验正确,则进入ID检测部分。奇偶校验在VerilogHDL中通过位异或就可以实现。

当奇偶校验正确以后,接收模块就根据从同步头检测得到的字类型进行不同操作。对于数据字,接收模块收到序列后就检测等待数据字寄存器的值,如果不为零,则把这个数据放入到接收缓冲器中,如果等待数据字寄存器的值为1,则首先把寄存器清零,然后把中断请求信号置位,最后把状态寄存器的值放入发送缓冲器中,并通知发送模块发送以及复位等待数据字寄存器,否则把等待数据字寄存器的值减1,等待下一个字的到来。如果等待数据字寄存器值为零,则认为传输错误,丢弃这个数据字。对于命令字,接收模块进行ID验证,检查所收到的命令是否是总线控制器发给本节点的。它提取所收到的16位有效信息序列中的高5位,与本节点的ID比较,如果不相同,则丢弃这个序列,等待下一个序列的到来;如果相同,则表示这个序列是总线控制器发给本节点的命令,保存命令,启动命令解析模块。

2.2 命令解析模块

命令解析模块完成对收到的命令字进行解析,对收到的数据进行存储的工作。命令解析模块是整个协议的核心,它负责把总线控制器传给本节点的命令翻译成本节点的操作,并执行相关动作。其工作流程如图2所示。

假设命令字存放在寄存器CMDREG[15:0]中,则命令解析模块首先检测CMDREG[9:5],如果CMDREG[9:5]不为全1或者全0,就表示总线控制器给节点的是数据传输命令;命令解析模块则继续检测CMDREG[10]位,如果为1,则表示总线控制器要求本节点发送数据,同时用CMDREG[4:0]指定了需要发送的数据长度;命令解析模块在收到这个命令后就把相关的数据和状态寄存器的值装入发送缓冲器中,并通知发送模块进行发送。如果CMDREG[10]位为0,则表示总线控制器要求本节点接收数据,同时也用CMDREG[4:0]指定了接收数据的长度,而用CMDREG[9:5]指定了数据接收子节点的ID,命令解析模块在收到这个命令以后就把CMDREG[4:0]装入等待数据字寄存器中,等待后继数据字的到来。如果CMDREG[9:5]为全1或者全0,则表示总线控制器传输的控制命令字,并通过CMDREG[4:0]指定了相应的命令方式代码。对于命令方式代码,命令解析模块根据1553B总线标准对不同方式代码进行相关的寄存器置位操作。

2.3 发送模块

命令解析完成后都需要进行状态反馈或者数据发送,而完成这个功能的是发送模块,发送模块负责把命令解析模块和接收模块装载到发送缓冲器中的数据发送到总线上去,如图3所示,它包括读取数据,产生反相字,曼彻斯特码编码,添加同步头,2 MHz时钟数据发送等几个部分,同时由于发送缓冲器中数据有可能多于2个字节,因此还可能需要循环进行这个操作。下面就根据发送的具体流程设计每个部分。首先是发送缓冲器部分,当接收到发送命令后,发送模块就第一次读取发送缓冲器的前两个字节,因为这两个字节肯定是状态字,然后把这两个字节贴上状态字标签,继续传给后继单元,待完成以后就把接收发送缓冲器的发送数据长度寄存器减1,并把发送缓冲器中的数据向前移动2个字节。如果发送数据长度寄存器不为零,则继续等待下一次的发送。

2.4 上层交互模块

最后一个模块是上层交互模块,这个模块负责与上层微控制器进行信息交互,它负责把微控制器写入的数据放入相应的寄存器中,或者按上层微控制器的命令回传相关的数据。上层交互模块主要包括边沿信号与电平信号转换、地址解码和读写寄存器。因为协议实现模块中采用的是边沿信号触发,而上层微控制器给FPGA的信号是电平信号,要顺利地完成通信,就需要进行转换,这里通过锁存器和计数器来完成。地址解码就是把收到的地址解码成相应寄存器的地址,然后写入收到的数据或者把寄存器中的数据读出放入到数据总线上。

3 仿真及FPGA实现

为了确保设计的可行性,必须对设计进行时序仿真。整个协议VerilogHDL实现程序在Altera公司的FPGA开发软件Quartus Ⅱ中编写、编译、综合。整个程序的仿真在Modelsim 6.0中进行,其仿真过程如下:

(1) 接收数据。总线控制器发送给实现程序两个数据:0x1234和0x5678,上层微控制器在实现程序接收数据后通过上层交互模块把数据读出,其中第一个数据包含了接收数据长度,通过图4可以看出整个过程顺利进行,完成了预定目标。

(2) 发送数据。总线控制器间隔地发送给实现程序两个发送数据的命令,让实现程序反馈相关的数据,这些数据是通过上层交互模块提前写入到实现程序中的,其仿真过程如图5。通过图5也可以看出在实现程序接收到命令到发送出数据的延时时间大约为4 μs,完全符合1553B总线对远程端点反馈信号延时的规定。

(3) 一般命令。总线控制器发送给实现程序一般的方式代码命令,代码分别为:00011,10010,01111和00001,其仿真过程如图6所示。可见,实现程序正确地反馈了相关信息,符合设计要求。

该设计在仿真正确以后,通过Quartus Ⅱ优化、综合,最后在Altera公司的Cyclone系列FPGA上进行了具体的实现,并与Freescale公司的16位单片机MC9S12XDP512一起组成了1553B远程端点,通过1553B收发器联入到了1553B总线系统中,成功地完成了远程端点的协议。这说明采用FPGA实现程序设计的1553B远程端点通信协议完全正确,达到了预期的目的。

4 总结及设计通用性

用于1553B远程端点数据链路层协议的FPGA实现程序采用自顶向下和自下向上相结合的方式进行设计,用VerilogHDL语言编写;用Modelsim和Quartus Ⅱ进行仿真和综合。在最后,针对特定器件做了再一次的优化,缩短了设计周期,提高了系统性能,并且大大提高了芯片资源的利用率。

该设计具有较高的通用性,与外部的接口采用标准的通信接口方式进行。它对于上层微控制器来说就是一个内存单元,上层微控制器通过对相关地址的读写即可完成对整个通信协议的控制,应用非常简便,还可以封装成模块,嵌入到Nios Ⅱ等处理器中,成为其内部的一个控制器。

参考文献

[1]Data Device Corporation.MIL-STD-1553 Designer′S Guide[Z].Sixth Edition.

[2]MIL-HDBK-1553A.Department of Defense,USA,1988.

[3]Aircraft Internal Time Division Command/Response Multi-plex Data Bus.Department of Defense,USA,1978.

[4]GJB289A-97,数字式时分制指令/响应型多路传输数据总线[S].1997.

[5][美]Bhasher J.Verilog HDL综合实用教程[M].孙海平,译.北京:清华大学出版社,2005.

[6]叶咏辰,赵光恒,苏建.基于PowerPC处理器硬核的1553B总线远置终端的实现[J].中国科学院研究生院学报,2006,23(3):407-411.

[7]李洪伟,袁斯华.基于QuartusⅡ的FPGA/CPLD设计[M].北京:电子工业出版社,2005.

[8]罗一锋,蔡嵩.基于1553B总线的接口设计与实现[J].现代电子技术,2006,29(2):71-73,76.

[9]张晓斌,周舢,李士杰.基于1553B总线的先进飞机电气系统远程终端的仿真[J].测控技术,2006,25(1):52-55.

[10]朱明君,朱俊,李伦.基于DSP的1553B总线通讯检测仪的设计[J].现代电子技术,2006,29(7):74-76.

1553B总线协议 篇2

1553B总线标准全称MIL_STD_1553B[1],它始于1968年初,1978年9月21日,在获得正式的书面批准后,作为美国官方的文件公布发表。该标准作为美国国防部武器系统集成和标准化管理的基础之一,被广泛地用于飞机综合航电系统、外挂物管理与集成系统,并逐步扩展到飞行控制等系统及坦克、舰船和航天等领域[2,3]。1553B总线具有极其优异的可靠性、较高的传输速率和抗干扰能力、比较轻的质量和长的电缆允许长度、十分成熟的技术。

Virtex-5FXT FPGA可提供1个或者2个PowerPC440处理器模块,帮助设计人员快速轻松地实现先进的可升级嵌入式处理应用。Power PC440嵌入式硬核具有运行速度快、占用资源少和可配置性强等优点,广泛应用于通信、军事和高端消费市场等领域。

Xilinx的嵌入式系统开发环境EDK,提供了一种通用的完全集成的硬件和软件开发环境,使设计人员可以利用单个开发环境快速配置针对Power PC硬处理器内核的平台。

1 1553B数据总线技术

1553B时分制指令/相应多路传输数据总线采用半双工传输方式,1553B数据总线上节点分为不同的终端类型:包括总线控制器(BC)、远程终端(RT)和总线监控器(MT)[4,5,6]。BC是在总线上唯一被安排为执行建立和启动数据传输任务的终端,在同一时刻,总线上只允许一个BC存在;RT是用户子系统到数据总线上的接口,它在BC的控制下发送数据或接收数据;MT“监控”总线上的信息传输,以完成对总线上的数据源进行记录和分析,但它本身不参与总线的通信。各终端之间信息传输方式有:BC到RT、RT到BC、RT到RT和广播方式。1553B数据总线典型拓扑结构如图1所示。

1553B数据总线传输速率为1 Mbit/s[7,8],标准规定了2种耦合方式:直接耦合方式和变压器耦合方式。由于直接耦合不利终端故障的隔离,即如果有一个终端发生故障将造成整个总线系统的完全瘫痪,所以应该尽量避免在空中使用直接耦合方式,而是采用变压器耦合方式,变压器耦合的短截线长度最长不超过6.1 m[9,10,11]。

2 系统硬件框架的建立

在用Xilinx公司的FPGA进行嵌入式设计时,Xilinx公司提供嵌入式开发工具EDK。EDK嵌入式开发工具主要包括:Xilinx平台设计环境(Xilinx Platform Studio,XPS)和软件开发工具(Software Development Kit,SDK)。XPS是图形化的设计环境,可以为嵌入式处理器系统提供一个创建软件和硬件的集成环境。在该环境下,可使用基本系统向导(Base System Builder,BSB)来生成Power PC440硬核硬件平台。EDK提供了处理器本地总线(PLB)、本地存储器总线(LMB)、片上外设总线(OPB)、外部存储器接口、串口(UART)、通用输入输出接口(GPIO)、三态以太网MAC、串口中断控制器、定时器以及其他一些外围设备接口资源。这些接口都是以IP core的形式进行应用。用户可以根据自己的实际需求通过IP core来建立一个完善的嵌入式处理器系统。

本次设计中采用XPS的IP核XPS_GPIO通过PLB总线对BU-61580进行控制和数据传输。IO设备(XPS_GPIO)是32位的PLB外设,支持32位、16位和8位总线接口,每一位GPIO可动态地设置为输入、输出或I/O端口。

DDC公司的BU-61580芯片是当前最流行的1553B超大规模接口协议芯片,功能强大,它具有BC、RT和MT三种工作模式。该芯片被封装在一个1.9 in2的模块内,具有70个引脚。为了实现总线系统与终端之间的短路保护、直流隔离和阻抗匹配等问题,必须接隔离变压器。1553B总线系统完整的硬件框架如图2所示。

3 系统软件设计

在进行系统设计时,把Power PC440微处理器作为子模块,将Power PC440处理器当作一个IP core添加到ISE工程中,在Power PC440的PLB总线上添加通用输入/输出驱动(GPIO)。每个外设GPIO都有自己对应的唯一地址,这个地址是XPS在添加了GPIO IP核后选择Generate Addresses自动产生的。XPS_GPIO是XPS中自带的IP核,有其相应的驱动程序,使用其提供的函数就可以很方便的编写1553B初始化程序。下面介绍2个主要用到的函数:

应用实例:

Xuint32 Current;

Current=XGpio_mReadReg(0x85000000,0);

XGpio_m WriteReg(0x85000000,0,Current);

4 BU-61580初始化

BU-61580和FPGA软件接口设计主要是FPGA对BU-61580的内部存储器和寄存器的配置编程。BU-61580工作在不同方式下,内部存储器和寄存器的编程要求不同。

4.1 BC模式初始化实例

BU-61580工作在BC模式时寄存器和存储器初始化实例如下:

R03←0001软件复位;

R07←8000设置增强模式;

R00←0001中断屏蔽寄存器:通过设置这个寄存器的相应的位屏蔽或者允许中断请求,选择消息结束中断;

R01←0010选择BC模式;

R02←0008选择电平中断请求;

M0100←0000在RAM中的固定位置0100H写堆栈指针为0000H,该指针会在每个消息传输完成后自动加4;

M0101←00FE消息计数中利用软件编程存放的是总线控制器的消息个数,如果是单消息,则该位置写入的是127-1=126,处理完一条消息,自增1,增加到127则结束处理消息。例如有N个消息,则填写00FFH-N,每完成一个消息处理,消息计数自增1,当变为00FFH的时候,就结束处理消息;

M0000←0000块状态字;

M0001←0000时间标签字;

M0002←0320消息间隔时间字;

M0003←0108消息1地址;

M0108←0180消息1的BC控制字;

M0109←0823消息1的BC命令字;

M010A←0000消息1的第1个数据字;

M010B←0001消息1的第2个数据字;

M010C←0002消息1的第3个数据字;

R03←0002启动BC模式。

4.2 RT模式初始化实例

BU-61580工作在RT模式时寄存器和存储器初始化实例如下:

R03←0001软件复位;

R07←8000设置增强模式;

R00←0000中断屏蔽寄存器:根据自己需要设置相应的中断;

R01←8000选择RT模式,存储器当前区域选择A;

R02←0008选择电平中断请求;

M0100←0000在RAM中的固定位置0100H写堆栈指针为0000H;

M0141←0400初始化RT接收子地址查找表;

R03←0002启动RT模式。

4.3 MT模式初始化实例

BU-61580工作在MT模式时寄存器和存储器初始化实例如下:

R03←0001软件复位;

R07←8D00设置增强模式,命令堆栈大小为1 K,数据堆栈大小为2 K;

R01←5000选择MT模式,打开消息监视使能;

R00←0001中断屏蔽寄存器,选择消息结束中断;

M0102←0400初始化命令堆栈指针;

M0103←0800初始化数据堆栈指针;

M0280-M02FF←FFFF初始化选择查找表;

R03←0002启动MT模式。

5 系统测试

测试过程选用2块1553B测试卡进行了总线通讯操作。其中一块是PCI-1553B总线测试仿真接口卡(后面简称PCI-1553B接口卡),另一块就是本次要测试的1553B数据总线板卡,实验中对该通讯模块的1553B总线的3个终端进行模拟和测试,并对广播消息传输和非广播消息传输进行了测试。

BC测试:1553B数据总线板卡工作在BC模式,PCI-1553B接口卡工作在RT模式,BC发送给RT地址1的接收命令0823H,接收数据字个数为3,数据字存储在双口RAM的010A、010B和010C地址空间。RT在接收到命令字和数据字之后,返回状态字,BC正确接收状态字后,消息传送完毕。用示波器检测BC发送数据给RT的消息传输如图3所示。

RT测试:PCI-1553B接口卡工作在BC模式,1553B数据总线板卡工作在RT模式。BC发送给RT地址15发送命令字,发送数据字个数为3。RT把要发送的数据字存储在双口RAM的0400、0401和0402地址空间。用示波器检测RT发送数据给BC的消息传输如图4所示。

由于篇幅原因,其他测试不再赘述。测试结果表明,1553B数据总线板卡可以实现BC、RT或MT三者之一的功能,并且可以实现RT到BC、BC到RT、RT到RT以及广播消息的准确传输。

6 结束语

采用FPGA控制1553B接口协议芯片,较于CPU的设计方案,这样的设计将整个系统的数据管理与控制通讯集中在1片FPGA芯片内实现,有利于系统的软件重用和增加系统可靠性。同时,采用FPGA嵌入的Power PC440硬核使设计更加简单,调试更加方便。

可将FPGA内实现1553B控制逻辑的模块分离独立出来,即使以后更换其他型号,FPGA也可以方便地移植,从而实现代码重用目的。随着以后卫星通信数据管理系统需求的增加或者FPGA型号变更,采用已完成的FPGA控制协议芯片BU-61580实现的1553B总线独立模块,就可迅速地移植完成1553B总线通讯设计,同时将整个数据管理系统的控制集中在1片FPGA内实现,有利于减少系统元器件数量,提高系统的集成度和可靠性。

摘要:针对卫星通信的复杂性和高可靠性,提出了一种基于Xilinx新型的嵌入Power PC440处理器硬核和美国DDC公司1553B协议芯片BU-61580构建的1553B总线系统。对1553B总线系统进行了简要介绍,针对1553B总线系统的软硬件设计和BU-61580三种工作模式的初始化进行了详细分析,对1553B总线系统进行了测试。测试结果表明该系统是可靠通信系统,设计满足1553B总线协议标准。

关键词:1553B总线,FPGA,PowerPC440,BU-61580

参考文献

[1]杨卫军,李钊,许化龙.1553B网络BC的SPN建模与分析[J].无线电工程,2009,39(2):16-17.

[2]张飞,王焕玉,徐玉朋.基于FPGA控制实现的1553B总线通讯设计[J].航天控制,2010,28(6):67-68.

[3]ZHANG Yong-xiang,ZHANG Wei-gong,ZHOU Quan.The Design of 1553B Communication Bus Based of BU-61580[J].IEEE Conference on Industrial Electronics and Applicationsis,2010(5):1 920-1 923.

[4]吴云忠.基于PCI接口的1553B总线控制软件设计[J].现代电子技术,2013,36(24):47-49.

[5]YUAN Ye,HU Xiao-guang,ZHANG Guo-feng.Design of MIL-STD 1553B Da Ta Bus Based of MIL-STD 1553B Data Bus Simulation Platform Based of BU-61580[C]∥IEEE 9th Conference on Industrial Electronics and Applicationsis,2014(9):2 119-2 121.

[6]叶咏辰,赵光恒,苏建.基于Power PC处理器硬核的1553B总线远置终端的实现[J].中国科学院研究生院学报,2006,23(3):407-409.

[7]邓林,戎蒙恬.基于BU-61580的MIL-STD-1553B总线设计[J]、信息技术,2014(2):194-195.

[8]SHI Hong-mei,JI Lao.Design of 1553B Decoder Based on FPGA[J].Microcontroller&Embedded System,2004(4):42-44.

[9]李志刚.1553B总线测试系统的设计与实现[D].西安:西北工业大学,2005:5-8.

[10]XIONG Hua-gang,ZHOU Gui-rong,LI Qiao.A Survey on Avionecs Bus and Network Interconnections and Their Progress[J].Acta Aeronautica Et Astronautica Sinica,2006(9):1 135-1 144.

1553B总线协议 篇3

关键词:1553B,GJB289A,ICD设计

1 1553B总线的关键技术

一是总线接口硬件和软件设计。采用接口卡或接口控制器形式与武器各子系统的硬件连接。同时, 需要编写相应的通信控制软件, 包括传输层软件和驱动层软件, 通过信息和资源的共享, 在应用层上, 真正实现功能的综合;二是接口控制文件 (Interface Control Document缩写ICD) 。ICD由通过1553B数据总线在武器各电子设备之间互联的接口信号组成。根据武器的控制策略和控制目标, 必须编写符合要求的ICD文件, 确定总线上传输的周期性数据和随机数据。只有这样才能确定数据流之间的相互关系, 高效率的实现功能的综合。

2 物理层编码格式

GJB289A (1553B) 数据总线所采用的是曼彻斯特II型双相电平编码格式进行各种传输。

传输形式。GJB289A (1553B) 总线上各项信息传送的单位名称是字符, 字的全部种类有3类:指令字、数据字、状态字。

方式指令:方式指令是消息传输, 他的主要作控制方式是是控制器向远程终端发出命令。

组成一条消息并不是由同一个用户端发出的。

3 BLOCK表

BLOCK表规定了所有带数据字消息的详细定义, 包括数据字的长度以及数据字位详细定义。该部分内容与ARINC429总线中ICD规定内容类似, 本文不进行详述。ICD中部分BLOCK描述见表 (略去部分定义, 具体数据项见表1) 。

4 仿真试验

为验证该ICD设计可行性, 需要利用1553B总线通讯板卡等试验设备搭建硬件仿真环境, 编写仿真程序, 利用仿真试验考察该1553B总线的负载率、总线使用效率, 各项数据传输最小延迟是否满足要求等指标。由于缺乏完整的测试设备, 只进行了通讯功能试验。利用1553B通讯板卡自带的驱动软件, 结合ICD进行配置 (见图2) 后运行。试验结果显示设计完成的ICD可以实现RT与BC间的通讯。

参考文献

[1]邢秀琴, 姚竹亭.基于1553B总线的通信接口及其应用[J].中北大学学报, 2007, 28 (1) :91-94.

[2]李瑛.1553B通信电缆测试技术研究[J].中国科技纵横, 2011 (17) :188.

1553B总线协议 篇4

航空1553B总线是航空电子系统使用的标准互联总线,是一种集中控制的时分制指令/响应的多路传输串行数据总线,由于1553B总线具有确定的传输延迟、可靠的传输能力,以及较强的容错能力等特点,因此被广泛用于航空、航天、舰载以及车载领域的综合电子信息系统[1]。

在航空电子系统中,航空1553B总线主要完成信息传输、资源共享、任务协调和容错重构等功能,是系统的核心部件之一。1553B总线协议处理芯片作为1553B总线的核心,目前主要采用专用芯片进行设计,主要型号包括DDC公司ACE系列总线通信终端接口控制器BU-61580、BU-61590和BU-65620,UTMC公司的UT1553B,INTEL公司的M82553等。但随着微电子技术的飞速发展,IP复用技术(Intellectual Property)被广泛应用于电子信息系统中,基于IP技术设计符合GJB289A-97规范的1553B总线IP核,不仅有利于总线接口单元和功能模块集成,降低总线通信的复杂性,提高通信的可靠性;而且有利于系统的小型化和低功耗设计,适应当前电子信息系统发展的需求。

二、1553B总线概述

1553B总线采用时分方式共享总线,总线上挂接总线控制器(BC)和远程终端(RT),以及总线监视器(MT),其中BC负责分配、协调各通信成员通信时隙,发挥集中控制作用,各RT在BC的指令下实现信息传输。

在航电系统中,1553总线一般采用双余度总线型拓扑结构,同时具备A总线和B总线两组,所有子系统或处理单元通过总线接口单元挂接到在总线上。

1553B总线以序列脉冲码调制方式传输信号,编码方式采用曼彻斯特II双极码。1553总线传输的消息包含命令字、数据字和状态字三种类型,每个字占20比特位,包含3位同步头、16位有效信息位和1位奇偶校验位[2]。

1553总线各通信单元之间基于消息帧传输数据,GJB289A-97定义了10种消息传输格式[2],包括:控制器向远程终端的传输、远程终端向控制器的传输、远程终端到远程终端的传输、带数据字的方式指令(接收)、带数据字的方式指令(发送)、不带数据字的方式指令、控制器向各远程终端广播、远程终端向远程终端广播、带数据字的方式指令、不带数据字的方式指令。

本文的1553B IP按照GJB289A-97总线协议规范设计,实现物理层和链路层功能,主要包括:1)可配置为总线控制器(BC)、远程终端(RT)或总线监视器(MT)三种类型;2)支持RT地址软件配置,双冗余总线自动识别和切换;3)总线传输速率1Mb/s,完整实现总线协议规定的三种消息字和10种消息格式处理;4)为应用层提供中断机制和异步总线操作接口;5)提供消息时间标签信息,便于分析总线消息。

三、1553B IP设计与实现

1553B IP主要由总线接收单元、总线发送单元、总线协议处理单元、时标单元和CPU接口单元构成。总线接口单元、总线发送单元主要实现物理层功能,包括曼彻斯特编解码、位同步、校验、消息字组织和提取。链路层功能由总线协议处理单元实现,完成总线仲裁、消息格式处理、状态自动回传等。CPU接口单元主要实现与应用层处理器通信。1553B IP核结构如图1所示。

3.1总线发送单元

总线发送单元主要实现发送消息缓存、A/B总线选择、曼彻斯特编码和串行发送功能。1553B总线以序列脉冲编码调制方式传输数据信息,数据编码采用曼彻斯特双极性码,编码规则为:每个码元中间有一个跳变,“1”是由1到0的负跳变,“0”是由0到1的正跳变。

在总线发送单元设计中,先对缓冲器的16比特数据进行奇校验;然后对数据和奇校验进行曼彻斯特编码;最后根据消息字类型(命令字、数据字和状态字)添加3比特同步头,组成20比特的1553B消息字,以1Mb/s速率按先高后低顺序发送到总线上。消息发送过程如图2所示。

3.2总线接收单元

总线接收单元主要实现A/B总线数据采样、曼彻斯特解码、位同步、校验、消息缓存和A/B总线仲裁功能,处理流程如图3所示。在总线接收单元中,以24MHz时钟分别对A/B总线数据进行采样,每个采样数据从低比特端存入移位寄存器,以备同步头判决、曼彻斯特解码和奇校验使用。根据图2所示同步头特点,并结合GJB289A-97规范允许100ns误差,进行同步头判决,并可判断消息字的类型,然后对同步头后的数据进行曼彻斯特解码和奇校验检测;如果解码错误或校验错误,则丢弃并置错误标志位;如果数据正确则将数据存放接收缓存器中,并输出接收数据标志和A/B总线标识给总线协议处理单元。

3.3总线协议处理单元

总线协议处理单元是1553B IP的核心,主要实现1553B协议规定的10种消息格式处理,实现BC到RT、RT到BC和RT到RT的消息传输。CPU可将总线协议处理单元配置为BC、RT或MT类型,在RT类型时,可以配置该节点的RT地址。

总线协议处理单元作为RT工作时,从总线接收单元接收来自BC的命令字,在BC控制下实现数据的接收和发送。如果接收的命令字正确并且RT地址匹配,则按照协议规定的消息格式和响应时间间隔响应。如果接收到BC或其它RT发送到本RT的数据,则将数据存入接收缓冲区,并产生中断通知CPU读取数据;如果本RT向BC或其它RT发送数据,则从发送缓冲区中读取数据通过总线发送单元发送到A/B总线标识指定的总线上。

总线协议处理单元作为BC工作时,从CPU接口单元接收CPU的命令,通过总线发送单元发送到总线;并接收RT响应的数据字和状态字,按照协议规定的消息格式和响应时间判断RT响应的正确性,在发生响应异常时向CPU发送中断信号,以便CPU进行进一步处理,如切换总线发送等。在收到RT响应的正确数据后,存入缓冲区,并产生中断通知CPU读取。

总线协议处理单元作为MT工作时,通过总线接收单元接收总线上的所有数据消息,根据命令字、数据字和状态字格式进行解析,按照协议规定的消息格式判断消息正确性,在收到异常消息或正确消息时,从时标单元读取时间信息和接收到1553消息一起发送给CPU处理。

3.4时标单元

时标单元主要实现计时功能,工作时钟为24MHz,精度为1us。该单元为总线在RT、BC和BM方式下提供时间,以便计算消息到达的绝对时间和相对时间。

3.5 CPU接口单元

CPU接口单元主要实现1553B IP与CPU之间的数据交互功能,为CPU配置1553B IP参数和获取总线数据提供传输通道。CPU接口单元采用异步总线通信方式进行设计,接口信号包括片选信号CS、写使能WE、读使能RD、地址总线ADDR和数据总线DATA,在CS、WE、RD信号的控制下,实现指定地址的数据读写操作。

四、1553B IP核验证与分析

首先用Model Sim SE 6.3对本文设计的1553B IP核进行RTL仿真,仿真通过后,再基于XC4VLX25芯片使用synplify9.2综合工具对其进行综合,综合后的门电路资源使用情况如表1所示。

最后,将1553 IP集成到XC4VLX25芯片,并与DSP2812、总线收发器HI-1573、变压器PM DB2725构成1553节点,与在计算机端的两通道CONDOR 1553卡构成具有3个节点的总线验证环境,对1553B IP进行测试验证。测试验证主要包括协议测试、噪声抑制测试和电气性能测试等,验证结果表明,在BC、RT和MT三种方式下,1553B IP均能满足GJB289A-97规范要求。

五、结束语

本文重点论述了1553B IP的功能结构和各模块单元的设计与实现,并在XC4VLX25芯片上综合实现。通过构建总线测试验证环境,开展了大量测试验证试验,试验结果表明本文设计的1553B IP核工作稳定可靠,可为综合电子信息系统提供总线通信服务。

参考文献

[1]支超有.机载数据总线技术及其应用:国防工业出版社,2009

[2]GJB289A-97数字式时分制指令/响应型多路传输数据总线,1997

1553B总线协议 篇5

美国军用数据总线标准MIL-STD-1553B是一种集中控制式、命令/响应、时分制的串行总线标准, 具有较高的可靠性、确定的传输延迟、较强的容错能力等特点, 已经在航空、航天、舰船和车载等领域得到了广泛的应用。随着航空电子系统的不断发展, 其系统越来越复杂, 处理的数据量越来越大, 使用基于1553B SoC的MBI模块能够有效提高系统的集成度和可靠性, 减轻子系统主机的负担, 减轻模块重量。

1 1553B总线简介

1553总线采用指令/响应式协议, 属于集中式控制, 通信系统由一个总线控制器 (BC) 和最多30个远程终端 (RT) 组成。数据交换完全由BC控制, RT执行完总线命令后, 通过状态字报告消息传输情况, 响应时间限定在4~12微秒以内, 保证系统良好的时钟同步能力。

1553协议定义了3类16位的数据字、命令字、状态字, 加上每个字起始的3位同步头和字结束的奇偶校验位, 每字传送20位格式, 1553字的格式如图1所示。

2 MBI模块介绍

基于1553B SoC的MBI模块, 其绝大部分功能都已集成到SoC内部, 外围仅需要少量的电源、时钟等外围电路即可实现MBI模块功能。如图2所示。

PC-MBI模块使用的主机接口为标准PCI接口, 子系统主机通过该接口可直接访问MBI模块中SoC内部的64Kbyte DPRAM空间和IO寄存器。子系统通过操作DPRAM中的信息区来控制SoC进行相关的状态切换和收发数据。

3 软件设计

3.1 航空电子通信系统

航空电子通信系统分为5层:应用层、驱动层、传输层、数据链路层和物理层, 如图3所示。MBI模块软件也遵循航空电子通信系统的划分, 其中物理层与数据链路层由SoC芯片硬件实现, 传输层由驻留在SoC芯片上的传输层软件实现, 驱动层由驻留在主机上的驱动软件实现, 应用层与特定的子系统有关, 由子系统应用软件实现其功能。层与层之间相对独立, 较低层向较高层提供服务。

3.2 MBI模块软件

MBI模块的软件由驱动软件和传输软件组成, 实现1553B总线通信和网络管理。在驱动软件中出过MBI模块相关API接口函数外, 还包含有主机接口的初始化函数接口。

主机和MBI之间的通信采用“命令/响应”方式, 即主机向MB I模块DPRAM中命令字单元写相应命令, 而MBI执行该命令之后, 会在DPRAM中响应字单元写响应字。

MBI主要执行的命令有:初始化、自测试、启动、停止、软复位等,

3.2.1 MBI传输软件

传输软件是运行于MBI模块的1553B SoC上的软件, 使用ARM公司的ADS1.2开发。传输软件固化于MBI模块的Flash中, 系统上电后, 由SoC从Flash中将传输软件搬运到片内的SRAM中运行。

传输软件用于控制总线上的通信行为, 主要功能是解释并执行驱动层的命令, 进行1553B总线消息处理、通道切换、同步等各项操作, 通过状态字及数据缓冲将命令响应结果回传给驱动层, 完成交互。传输软件结构如图4所示。

3.2.2 MBI驱动软件

驱动软件是子系统主机系统软件与传输软件的接口软件, 为实现子系统主机应用软件的管理功能, 驱动软件应能控制完成SoC的初始化、启动、停止、启动自测试、监控SoC的状态、控制SoC和子系统主机的数据交换。在MBI模块的驱动软件设计中, 保持与之前MBI模块驱动API接口统一, 能够做到MBI模块的无缝升级。驱动软件结构如图5所示。

基本接口驱动提供主机读写模块主机读、写MB I模块IO端口的基本接口函数。

MB I控制程序提供了:主机向MB I模块DPRAM命令字单元写初始化命令、自测试命令、启动命令、停止命令、加载WDT命令, 然后获取MB I模块响应字的相应驱动程序接口函数。

TMR控制程序提供了主机读、写MBI模块上RTC以及读取BC与RT的RTC差值等驱动程序。系统控制驱动程序提供了主机更改MB I模块作为BC时的子系统模式, 获取RT通道状态和通道切换状况, 以及软复位MB I模块的驱动程序。

消息控制程序提供了主机从MB I模块接收消息缓冲区中提取消息数据以及向发送消息缓冲区写消息数据, 以及找出两个消息号之间, 接收消息数据已经刷新的消息逻辑号的驱动接口函数。

中断服务程序提供了主机依据MB I模块所发出的中断和记录的中断原因, 进行相关中断处理的过程。MB I中断主机的原因有:紧急消息中断、看门狗上溢中断、自测试失败中断、RT上下网中断、热备份RT同时上线中断等。

3.2.3 主机接口初始化函数

由于该MBI模块所采用的主机接口为PCI接口, 所以在为MBI的软件设计中应当考虑添加初始化主机接口的函数接口。PC--MBI模块是位于PC机或工控机中的1553B总线仿真模块, 其所对应的子系统主机为Windows平台的主机, 所以主机接口的驱动开发应遵循Windows平台下的驱动开发规则。

一般的Windows下的驱动开发可选用DDK、DriverStudio和WinDriver这3种开发工具, 为了缩短开发周期并保证驱动程序的稳定性和可靠性, 我们在这里选择比较智能的WinDriver作为PCI主机接口的驱动开发工具。该工具可直接生成我们需要的初始化PCI设备接口、读写PCI存储空间和IO空间的驱动接口, 在MBI模块的驱动软件开发中我们只需调用相应的读写PCI接口即可完成对SoC片内DPRAM的访问。

4 结束语

基于1553 SoC的MBI模块极大地提高了MBI模块的集成度和可靠性, MBI模块的软件保持与之前版本的驱动API兼容, 这样就将用户后续升级的代价降到最低。方便用户以最低的成本提高整个系统的可靠性和稳定性。

参考文献

[1]郭蒙, 田泽, 蔡叶芳, 等.1553B总线接口SoC验证平台的实现[J].航空计算技术, 2008 (6) .

[2]许宏杰, 田泽, 袁晓军.高速1553B IP核的设计与实现[J].计算机技术与发展, 2009 (12) .

1553B总线协议 篇6

MIL-STD-1553B标准是美国军方专门为航空设备制定的,用于设备间传输数据的协议。1553B数据总线是符合美军标MIL-STD-1553B标准规定传输协议数据传输总线的总称。1553B数据总线具有设备之间连接简单灵活,双向输出,实时传输,噪声容限高和通信高可靠等特点,自20世纪90年代以来,国际上广泛应用在当代的运输机、民用客机以及军用飞机上,在航天系统也得到了广泛应用[1]。1553B数据总线用电缆是符合美军标MIL-STD-1553B数据总线的性能要求,可在恶劣的环境中提供高可靠性传输,特别适用于航空、电子等领域苛刻环境下的信号传输。1553B数据总线用电缆的使用频率在1MHz左右,具有电气性能可靠、耐高低温、耐老化、抗辐照、抗腐蚀、阻燃、外径小、重量轻和卓越的机械韧性等特点。通信电缆从结构上一般可分为同轴电缆、屏蔽双绞电缆和复合电缆等[2]。1553B数据总线用电缆属于屏蔽双绞对称电缆,其通常采用XETFE(交联乙烯—四氧乙烯)绝缘及护套,缆芯由两根对称的绝缘线芯绞合而成,外面编织一层屏蔽层,最后挤出护套予以保护,结构如图1所示。

无论是对称电缆还是同轴电缆,特性阻抗都是重要传输参数之一。当电缆的特性阻抗与线路负载阻抗不匹配时,负载无法完全吸收电缆传输的全部能量,这部分能量会返回线路,形成反射波而引起能量损耗的增加。同时,反射波还将引起信号的失真,并加剧回路间的干扰。仅当终端的负载阻抗与线路的特性阻抗完全相等时,反射波为零,能量全部被负载吸收,这样的线路称为匹配线路。通信电缆的设计理论都是基于均匀匹配线路,因此准确检测一根成品电缆的特性阻抗非常重要。当电缆无限长,特性阻抗是电磁波沿着无反射情况下的均匀回路传输时所遇到的阻抗,是电缆电导率、电容以及阻值组合后的综合特性[3]。线路终端阻值匹配时,特性阻抗是线路内任一点的电压U和电流I的比值,其计算公式为:

图2示出了1553B数据总线用电缆的阻抗—频率特性曲线,可见,电缆阻抗受频率的影响较大,随着频率上升,阻抗下降。

2 阻抗测试方法

虽然目前针对1553B数据总线用电缆阻抗的测试方法有多种(主要是适用于对称电缆的特性阻抗测试方法),包括单端开、短路阻抗测试法,电容和电感测试法,传输速率测试法,终端匹配测试法等,但每种测试方法都没有从根本上给出十分严格的适用范围或定义,且都存在不同的测试误差。下面将对不同阻抗测试方法的基本原理和适用范围进行介绍和对比,以期找到适用于1553B数据总线用电缆阻抗的测试方法。

2.1 单端开、短路阻抗测试法

单端开、短路阻抗测试法简称开、短路法,带平衡变量器的单端开、短路阻抗测试法是特性阻抗的基准测试法,其测试原理是特性阻抗是开路和短路测量值乘积的集合平均值:

式中Zc为复数特性阻抗,假定线对是均匀的或与结构无关;Zoc为开路时的复数阻抗;Zsc为短路时的复数阻抗。

单端开、短路阻抗测试法是通过网络分析仪(连同S参数单元)或其他阻抗仪表取得测试数据。网络分析仪S参数单元从入射信号中分离出反射信号,其关键元件为反射桥。平衡变量器应具有适当的频率单位和阻抗(从50Ω到100Ω,对应于50Ω设备和100Ω线对),且与被测线对有相同的平衡度,以利于平衡状态下对称线对的测量。三种终端状态(开路、短路和标称阻抗负载)分别用于不同的开路、短路或终端测量[4]。采用单端开、短路阻抗法测试时,平衡变量器在不接电缆线对进行开路、短路和终端三步校准后,网络分析仪可直接测量出电缆线对的复反射系数(即S参数),并按照下式计算出被测复数阻抗(开路、短路):

式中Zmeas为被测复数阻抗(开路、短路),ZR为在校准时所用的基准阻抗(电阻),S11为被测复数反射系数。将测量得到的开路及短路状态下得复数阻抗通过式(2)计算得出最终检测结果。

在单端开、短路阻抗测试法中试样准备时,为使端部效应最小,试样线对的护套剥除长度≤40mm,屏蔽剥除长度≤25 mm,芯线绝缘剥除长度≤8mm,线对松开扭绞长度≤13mm,试样长度应在100m以上。此外,只有当试样端两个方向测试结果均通过时,才认为试样检验合格。

单端开、短路阻抗测试法是对称通信电缆特性阻抗基准测试方法(详见标准YD/T 1019—2001附录B和YD/T 838.1附录A),其它方法设备原理校准时均以此方法为基准,其充分考虑到特性阻抗是一种矢量,通过校准电阻及测试复反射系数,直接计算得到开路或短路下的复数阻抗。单端开、短路阻抗测试法直接测量复反射系数,可以直接反映出电缆内部阻抗均匀情况及线路匹配情况,当对称电缆存在影响阻抗的结构缺陷时,测量得到的复反射系数有明显突变,同时该方法没有简化高频下较小的实数部分,使检测结果无论在高频或低频情况下都同样准确,更适合1553B数据总线这类使用频率不是很高(1 MHz)的对称电缆。

2.2 电容和电感测试法

电容和电感测试法的测试原理是在高频(频率大于30kHz)时,ωL远大于R,ωC远大于G,因此特性阻抗的计算公式(1)可简化为:

由于测试频率越高,上式的计算结果越接近真实值,而相对其他常用对称电缆超过100 MHz的使用频率,1553B数据总线用电缆的使用频率仅为1 MHz,因此使用基于简化公式的电容和电感测试法测试1553B数据总线用电缆阻抗时准确度不高,不推荐选用。

2.3 传输速率测试法

传输速率测试法也称为相移法或谐振法,按照GB/T 17737.1—2000《射频电缆第1部分:总规范———总则、定义、要求和实验方法》中规定的,先采用电容表或电容电桥来测出试样总电容C,再利用网络分析仪测得电缆谐振频率差Δf,最后计算出特性阻抗[5]。采用的电容表或电容电桥应符合GB/T17737.1—2000中11.3条的规定。测量电气长度的试验电路应符合GB/T 17737.1—2000中11.10条的规定。样品长度应符合GJB 973A—2004《柔软和半硬射频电缆通用规范》中的4.7.8条的规定[6]。通常采用传输速率法测试时,先测量电缆在200 MHz频率下相位变化360°所对应得的频率变化Δf′,反推电缆在1 MHz频率下的相位变化量Δf,再计算电缆在1 MHz频率下的电气传输速度v,通过测量电缆的总电容C,计算电缆在1 MHz下特性阻抗Z0,Z0=333 563/(vC),以及平均特性阻抗Z∞,Z∞=1 000/(ΔfC)。

通常情况下对称通信线路在频率大于30kHz时,电磁波传输速度大约为2×108m/s,由公式v=fλ可计算出,在电缆中传输的1 MHz频率电磁波的波长大约为200m左右,而一般阻抗测试时的试样电缆长度仅为100m。相位变化量即相移常数,表示电磁波传输单位长度上的相移,而交流信号每经过一个波长λ,其相移为2π,当试样电缆长度小于波长λ时,试样电缆上无完整波长的电磁波,矢量网络分析仪无法准确分辨完整波长λ,造成时延差测试结果不停跳动且变化很大,无法得到准确结果。因此,传输速率法测试频率必须在200MHz及以上(此时波长λ远小于试样长度,得到结果较为准确)。采用传输速率法测量使用频率在1 MHz的1553B数据总线用电缆阻抗时,电缆相位变化量或电气传输速度不能由试验直接测量得出,只能人为推算,这可能出现偏差,同时被测电缆因自身结构也会导致在1~200MHz频段的相位变化量或电气传输速度不成线性关系,从而造成测量误差较大。因此,不建议作为1553B数据总线用电缆阻抗的测试方法。

2.4 终端匹配测试法

终端匹配测试法也称为平衡变量器(BALUN)的单端阻抗匹配阻抗法,该测试方法基本与单端开、短路阻抗测试法相同,主要采用基于频域扫描法的前向S11(或后向S22)反射测试,仅在测试时在电缆末端连接一个精密匹配负载,使其可直接测得阻抗值,但这也引入了可能因电缆末端失配而导致的阻抗波动。因此,与单端开、短路阻抗测试法相比,该方法测试结果的波动较大,权威性较差,不推荐选用此方法测试1553B数据总线用电缆的阻抗。

3 阻抗测试实例

根据上述对多种1553B数据总线用电缆阻抗的测试方法(单端开、短路阻抗测试法,电容和电感测试法,传输速率测试法,终端匹配测试法)基本原理和适用范围介绍和对比,我们认为单端开、短路阻抗测试法较为适合1553B数据总线用电缆阻抗的测试。为了进一步了解该测试方法的合理性,我们采用单端开、短路阻抗测试法和较常用的传输速率测试法进行了1553B数据总线用电缆的阻抗对比测试。

分别采用单端开、短路阻抗测试法和传输速率测试法对同一根1553B数据总线用试样电缆进行DC~3.4 MHz的多频点阻抗测试,测试设备为网络分析仪,测试结果如图3a)所示,可见:a.在DC~3.4 MHz频段内单端开、短路阻抗测试法测得的电缆阻抗曲线波动较小,波动区间在74~79Ω之间,且随频率的增加,特性阻抗有逐渐减小的趋势。这是由于频率越低,电缆导体直流电阻R和旁路电导G的值越大,且在某些频率下特性阻抗表现为容性(电容为主),某些频率下表现为感性(电感为主),而形成较小的波动;随着频率的增加,R、G值对整个特性阻抗产生的影响越来越小,电缆电感L逐渐降低(但降低幅度很小),电缆电容C不随频率变化,使得电缆整体特性阻抗随频率升高略有下降并在某一值上下波动,因此单端开、短路阻抗测试法测得的电缆阻抗曲线非常符合特性阻抗理论值分布趋势。b.在整个测试频率下,传输速率测试法测得的电缆阻抗曲线波动较大,波动区间在84~72Ω,且曲线突变点较多,总体呈现随频率增加而下降的趋势。

造成图3a)中传输速率测试法阻抗曲线波动较大、突变点较多的原因有可能是电缆内部阻抗不均匀或测试结果不准确。为了确认是否是电缆本身质量问题,我们分别采用单端开、短路阻抗测试法和传输速率测试法再次对上述同一根1553B数据总线用试样电缆进行DC~300 MHz的多频点阻抗测试,测试结果如图3b)所示,可见,除去前端低频时特性阻抗测试结果,随着频率升高两种测试方法的阻抗测试结果差异越来越小,尤其在频率高于200 MHz时阻抗测试结果基本相同。这表明电缆本身不存在阻抗不均匀的问题,而是传输速率法在低频下检测结果不准确。传输速率法在低频(小于100 MHz)下的测试结果由于相位变化量或电气传输速度计算不准,检测结果不如开、短路法准确。在测试200MHz及以上频率时,两种方法的检测结果同样准确。因此,与传输速率测试法相比,单端开、短路阻抗测试法在低频下得到的结果与特性阻抗的理论值非常接近,较为准确,更适合1553B数据总线用电缆这类使用频率不是很高(1MHz)的对称电缆阻抗测试。

4 结论

本文通过对不同阻抗测试方法基本原理的分析,以及对不同阻抗测试方法测试结果的对比,认为对于对称结构且使用频率相对较低(1 MHz左右)的1553B数据总线电缆,单端开、短路测试方法尤为适用,测试过程较为简单,能够精确测量其特性阻抗,相对其他测试方法权威性更强。除此之外,由于单端开、短路法可直接测量复反射系数,因此其还能准确反映电缆结构缺陷,特别在电缆存在绝缘介质缺陷或导体断路、虚接时,复反射系数在缺陷处将会发生突变,使检测结果发生较大变化。

摘要:对于1553B数据总线用电缆,特性阻抗是重要技术指标之一。在规定的测试频率下,采用不同的特性阻抗测试方法,测试结果存在着一定的差异性。为满足单机设计要求,需要精准掌握1553B数据总线用电缆真实阻抗值,但目前国内尚无相关标准明确规定1553B数据总线电缆适用的特性阻抗测试方法。通过对不同测试方法 原理的剖析、测试结果的数据对比分析,确定了单端开、短路阻抗测试方法能够应用于1553B数据总线用电缆特性阻抗参数的准确测试。

1553B总线协议 篇7

关键词:1553B总线,远程终端 (RT) ,FPGA,总线通信

0 引言

1553B总线是MIL-STD-1553美国军用标准总线的简称, 在飞机的航电系统中应用广泛[1,2]。为了提高可靠性, 1553B总线一般采用双余度总线结构, 在1553B总线上可挂接三种终端类型:总线控制器 (BC) 、远程终端 (RT) 和总线监视器 (BM) 。总线网络上可挂接32个终端, 在这32个终端中, 远程终端的数量往往最多。目前, 为实现1553B总线通信, 大都是开发独立的通信接口模块[3,4], 不但成本高, 整个系统的重量也会因此增加, 不利于航空领域的使用。随着电子技术的发展, FPGA已经迅速应用于各个领域[5], 系统中的各个电路模块大都包含FPGA芯片。本文介绍一种使用FPGA编程, 实现1553B总线通信中远程终端的方法, 本方法具有开发周期短、方法简单、可移植性强的特点, 可大大降低系统的开发成本, 缩短开发周期。

1 1553B总线简介

现代飞机典型的航电系统及1553B总线应用框图如图1所示[6,7]。1553B总线采用指令/响应型通信协议, 构成1553B总线传输协议有三要素:命令字、数据字和状态字, 每个字的长度为20 b, 且由3部分组成:同步头 (3 b) 、数据段 (16 b) 和奇偶位 (1 b) , 如图2所示。

命令字由总线控制器 (BC) 发出, 共包含20位的长度, 前3位是同步头, 最后一位是奇偶校验位, 有效信息为16位, 有效信息位中, 前5位为RT的地址场, 该场指出了被寻址的终端地址。有1位是发送/接收 (T/R) 位, 当此位为“1”时, 命令被寻址的终端发送消息, 为“0”时, 则命令被寻址的终端接收消息。另外5位为子地址/方式代码场, 一般情况下, 按指示向被寻址终端某一个分地址进行通信, 当这5位全为“0”或全为“1”时定义为方式代码场。有效信息中的最后5位为计数/方式码场, 通常情况下, 它定义了与该指令相关的数据字数, 但在前5位为方式场时, 它就成了方式控制码。

数据字有20位长, 其中16位有效信息是总线上传输的数据信息。

状态字仅仅对指令字响应, 被寻址的远程终端发出, 并包括5位本RT地址场和8位指示了通信状态和本RT及子系统状态的信息位。

2 硬件构成

信号调制解调所需的隔离变压器和收发器需单独购买, 本文采用HOLT公司的PM-DB2745D芯片和HI-1573芯片, 从而曼彻斯特编码解码往后的部分都可以在一片FPGA芯片内实现。本文是将1553B总线的远程终端集成到海军某型号飞机航电子系统的一块计算机板上, 计算机板采用的是Altera公司的CycloneⅢ系列FPGA芯片, 硬件连接关系如图3所示。

3 FPGA内部模块构成

远程终端是用户子系统到数据总线上的接口, 它在BC的控制下提取或吸收数据, 总线上的位传输速率为1.0 Mb/s, 信号以串行数字脉冲调制方式在数据总线上传输, 传输的数据码为曼彻斯特Ⅱ型双电平编码, 逻辑1为双极编码信号1/0 (即一个正脉冲继之以一个负脉冲) , 逻辑0为双极编码信号0/1 (即一个负脉冲继之以一个正脉冲) , 过零跳变发生在每一位时的中点, 如图4所示。

总线系统中传输的消息格式有10种[8], 本文涉及到的有三种消息传输方式, 即总线控制器向远程终端的传输、远程终端向总线控制器的传输和远程终端向远程终端的传输。FPGA的主要编程思路如图5所示。

实际编程时, 对1553B总线数据的解析响应在数据解析模块、数据编码模块、主控模块和软件接口模块的协调工作下完成, FPGA内部各功能模块的联系如图6所示。

FPGA中各模块的详细功能详述如下:

(1) 数据解析模块:本模块对总线上串行数字脉冲进行接收并解析, 数据解析模块负责检测命令字、数据字、状态字的同步头, 1553B总线的每一条消息都是从命令字开始的, 数据解析模块在检测到命令字同步头后, 将同步头后边的16位有效信息进行串并转换, 经过解析后, 判定是否对该命令做出响应, 若此命令是发给本RT终端的, 则根据命令做出接收数据或发送数据的响应, 若此命令不是发给本RT终端的, 则不做出任何响应。

(2) 数据编码模块:本模块在接收到数据发送命令时进行工作, 主要完成对发送数据的曼彻斯特Ⅱ型双电平编码。

(3) 主控模块:本模块控制各模块协调工作, 为各模块提供正常工作的时钟信号, 当数据解析模块接收到的是接收数据命令时, 将数据解析模块解析得到的数据字存储到一个双口RAM中, 记为RAM1, 等待CPU前来读取, RAM1被划分为32个区域, 对应此RT终端的32个子地址;当数据解析模块接收到的是发送数据命令时, 将CPU事先存储在另一个双口RAM中的数据发送给数据编码模块, 此双口RAM记为RAM0。RAM0同样被划分为32个区域, 对应RT终端的32个子地址。

(4) 软件接口模块:本模块是与CPU通信的接口, 当RAM1中某个区域存储新的数据时, 通知软件前来读取, 当软件向RAM0中某个区域写入数据后, 通知FPGA软件写入数据完毕。

4 试验

试验时, 将程序下载至FPGA中, 使用QuartusⅡ软件自带的逻辑分析仪观察FPGA的通信性能, 采用上海旋极公司提供的成品1553B板卡和配套测试软件与本文开发的电路进行通信, 试验证实:两者通信结果正确无误, 满足1553B总线通信要求, 其中, A路总线接收数据的逻辑关系如图7所示。

5 结语

本文介绍了1553B军用航空总线远程终端通过FPGA编程实现的方法, 该程序通过调试之后可以很好的工作, 完全可以满足海军某型号飞机某系统远程终端1553B总线通信的要求。将1553B总线接口集成到FPGA内, 不但降低了成本, 缩短了开发周期, 也减轻了机身的重量, 具有非常重要的现实意义和良好的应用前景。

参考文献

[1]蒋国峰, 白红.1553B总线监控器的设计与实现[J].电子设计工程, 2011, 19 (17) :98-100.

[2]李辉, 张敬波, 张磊.1553B多功能RT IP核的设计与实现[J].航天控制, 2012, 30 (1) :59-65.

[3]赵玉, 许家栋, 张官荣, 等.基于LXI总线的1553B通信模块设计与开发[J].电子设计工程, 2012, 20 (8) :125-129.

[4]赵明阳, 朱岩, 张建东, 等.基于PCI局部总线的1553B总线接口卡设计[J].现代电子技术, 2012, 35 (10) :47-52.

[5]高亚军.基于FPGA的数字信号处理[M].北京:电子工业出版社, 2012.

[6]熊华刚, 王中华.先进航空电子综合技术[M].北京:国防工业出版社, 2009.

[7]罗志强.航空电子综合化系统[M].北京:北京航空航天大学出版社, 1990.

【1553B总线协议】推荐阅读:

1553b总线06-29

总线数据07-12

485总线07-22

总线平台07-27

总线方式07-28

仪表总线08-06

总线驱动08-13

总线故障08-16

安全总线08-22

ISA总线05-20

上一篇:非现场检查下一篇:门诊患者的心理分析