四相步进电机

2024-08-08

四相步进电机(精选2篇)

四相步进电机 篇1

使用PLC控制器实现四相八拍步进电机驱动, 可使步进电机的抗干扰能力强、可靠性高, 同时, 由于实现了模块化结构, 使系统构成十分灵活, 而且编程语言简单易学, 便于掌握。可以进行在线修改, 体积小, 维修方便。

1 步进电机

1.1 步进电机的种类和结构

步进电机的分类方式很多, 按不同的标准, 可将步进电机分成多种类型, 表1的表格列出步进电机的各种类型。

1.2 步进电机的工作原理

步进电机的工作原理实际上是电磁铁的作用原理。

步进电机定子绕组的通电状态每改变一次, 它的转子便转过一定的角度, 即步进电机的步距角a, 定子绕组通电状态改变速度越快, 转子的转速越高, 定子绕组通电顺序改变, 转子的方向也随之改变, 步进电机步距与定子绕组的相数M, 转子的齿数Z, 及通电方式K有关, 可用公式表示:e=360/ (MZK) 单位为度。式中;M相M拍时, K=I, M相2M拍时, K=2。

2 四相步进电机

四相步进电机是一典型的单定子、径向分相、反应式伺服电机。其结构如下图2所示。它与一般的异步电机不同, 它的定了又分为定子铁芯和绕组, 其中其对应的定子铁芯上的绕组为一相构成一对极, 它共有四对极。它的转子由钢铁做成, 它的上面没有绕组, 而由许多的齿槽组成。其中转子的齿或槽与定子的齿或槽大小相等。此图中的转子共四十八个齿。由此可知它的齿距角为7.5度, 当它是由单拍控制时它的步进角为1.875度, 当它是八拍控制时, 它的步进角为0.9375度。当它处于图中所在的位置时, 此时A相正好与转子的齿对齐, 这时B相的定子齿与转了上的齿相差四分之一个齿距角, 依次类推可知C相相差四分之二, D相相差四分之三。当它处于八拍工作时, 在给一次脉冲时, 转子只能转过八分之一齿距角。

四相八拍步进电机的工作原理如图2当A相通电时此时磁力线总是沿着磁阻最小的地方构一个闭合的回路, 此时定了A相上的齿与转子上齿对齐, 在其后的一个脉冲时, 这时由于AB两相同时通电, 这时磁力线按照磁阻最小的地方构成回路, 由图可知这时转子只转过八分之一个齿距角, 当其后的B相再给脉冲时这时B相定子上的齿正好与转子上的齿相对, 这时转了再转过八分之一个齿距角, 依次类推。当要求步进电机反转时把上面的脉冲顺序反向即可。当要求其停止时, 这时只给某相通直流电并保持之即可。因此它的工作的脉冲流程如图1。

3 PLC梯形图

梯形图中的输入点、输出点的分配如表2。

4 工作原理及控制电路 (见图3)

正转工作原理:

当进给脉冲给10.0以脉冲时, 这时Q0.0输出给A相以脉冲。定时器T33开始计时, 1毫秒后, Q0.1输出给B相以脉冲, 这时AB两相同时得电, 同时定时器T35开始计时, 1毫秒后T35的常闭触点断开, A相断电。此时B相得电, 再过1毫秒后, 定时器T36计时到, 这时C相得电, 这时BC两相同时得电, 依此类推。

反转工作原理:

当进给脉冲给10.3以脉冲时, 这时Q0.0输出, A相得电。定时器T33开始计时, 1毫秒后, Q0.1输出这时AD两相同时得电。依上所示, 依次类推。

步进工作原理:

这时当进给脉冲给10.2以脉冲后, 工作原理与正、反转相同, 在此不再重述。

停止工作原理:

无论什么时候给10.1以脉冲, 四相都失电而实现步进电机停车。

摘要:用PLC控制步进电机, 使步进电机动作的抗干扰能力强, 它的工作的可靠性高, 同时, 由于实现了模块化结构, 使系统构成十分灵活, 便于在线修改, 产品的适应性强。主要分析说明四相八拍步进电机的PLC编程控制。

关键词:步进电机,PLC,编程,控制

四相步进电机 篇2

步进电动机又称脉冲电动机或阶跃电动机, 是一种典型的机电一体化器件。步进电动机可以接收数字脉冲信号并将其转变为角位移或线位移。由于不必进行数模转换, 使用起来非常方便, 广泛应用于阀门控制、数控机床、绘图仪、打印机以及光学仪器等需要高定位精度及高灵活控制性的系统中。

步进电动机运行时要求把有相当功率的时序脉冲按要求的逻辑馈送给它的各个控制绕组, 且为了实现步进电动机的调速, 要求能够改变送给各个控制绕组的时序脉冲的频率。通常采用硬件方式或嵌入式系统软件编程的方式实现。传统的硬件电路存在体积大、设计后的电路不易修改等缺点。而嵌入式系统软件编程的方式存在占用I/O端口较多、耗费系统运行时间较多等弊端。

FPGA融合了上述2种控制方式的优点, 它可以通过EDA软件由硬件描述语言如VHDL、Verilog HDL等来编写代码, 然后用模拟器验证其功能, 再将设计代码编译下载到FPGA中实现系统硬件功能。FPGA具有丰富的可编程I/O引脚、在线可编程、使用方便灵活的特点, 因此, 笔者设计了一种基于FPGA的四相步进电动机控制器, 以实现对四相步进电动机的调速控制。

1 四相步进电动机控制器原理

步进电动机在非超载情况下, 其转速、停止的位置只取决于脉冲信号的频率和脉冲数, 而不受负载变化的影响, 即给电动机加一个脉冲信号, 步进电动机就按设定的方向转动一个固定的角度。所以通过控制电脉冲的频率可以调节电动机运转的速度和加速度, 从而实现步进电动机的精确调速。此外, 还可以通过控制步进电动机绕组的通电顺序来实现步进电动机的正反转控制。

1.1 实现原理

基于FPGA的四相步进电动机控制器的各模块是在MaxplusII软件里采用Verilog HDL语言编程实现的, 经验证无误后再转化成图形元件, 最后将图形元件连接成顶层电路图, 如图1所示。

如图1所示, 该控制器主要由接口模块decode、基准时钟模块clk10div、步进电动机频率产生模块clkdiv2motor以及四相步进电动机脉冲产生模块motor构成。其中接口模块提供8位数据总线、3位地址线以及片选、写信号、复位信号等外部接口, 接收外部控制系统送来的控制命令, 如脱机、复位、正反转数据以及控制步进电动机转速的16位计数初值, 外部控制器通过8位数据总线、2个地址分别送2次8位计数初值得到FPGA内部的16位计数初值。基准时钟模块产生频率为1 MHz (周期为1 μs) 的基准脉冲信号。步进电动机频率产生模块通过对1 μs基准脉冲进行16位计数产生四相步进电动机脉冲模块所需要的时钟信号, 而该时钟信号的频率也就是步进电动机的运行频率, 这样通过改变外部控制器送来的16位计数初值就改变了步进电动机的运行频率, 实现了步进电动机的调速。四相步进电动机脉冲产生模块在步进电动机频率产生模块输出时钟以及接口模块的正反转信号控制下产生步进电动机4个控制绕组所需要的四相八拍时序脉冲信号。

1.2 步进电动机频率产生模块

由于步进电动机平稳运行所需要的脉冲周期在0.1~100 ms之间, FPGA外接晶振频率远远大于步进电动机的运行频率, 所以需要先对FPGA外接的晶振分频, 由此得到一个1 μs的基准时钟, 并由该时钟驱动步进电动机频率产生模块。

步进电动机频率产生模块主要由一个16位计数器构成, 在1 μs基准时钟的驱动下完成接口模块送来的16位计数初值的计数任务, 并产生四相步进电动机脉冲产生模块所需要的时钟信号。改变接口模块送来的定时初值即改变该模块的分频输出时钟, 从而可改变步进电动机的转速。FPGA内部步进电动机频率产生模块的最大定时周期为1 μs× (216×2) =131 072 μs, 通常步进电动机平稳运行所需要的脉冲周期在0.1~100 ms之间, 所以16位定时初值确定的最大定时周期是完全能满足实际需要的。

步进电动机频率产生模块实现的源代码 (VerilogHDL) 如下:

其仿真波形如图2所示。设定输入定时初值为01F4H (十进制500) , 即1 μs计数1 000次得到1 ms输出时钟。

1.3 四相步进电动机脉冲产生模块

四相步进电动机的驱动需要输入4路时间上有特定顺序的脉冲, 分别对应电动机绕组的A、B、C、D相。在八拍工作方式下其正向运转的通电顺序:A相通电, B、C、D相不通电;A、B相通电, C、D相不通电;B相通电, A、C、D相不通电;B、C相通电, A、D相不通电;C相通电, A、B、D相不通电;C、D相通电, A、B相不通电;D相通电, A、B、C相不通电;D、A相通电, B、C相不通电。四相八拍工作方式正向运转简化描述为A-AB-B-BC-C-CD-D-DA, 反向运转为DA-D-CD-C-BC-B-AB-A。若通电为高电平“1”, 不通电为低电平“0”, 正向输出数据则为08H-0AH-02H-06H-04H-05H-01H-09H, 反向输出数据为09H-01H-05H-04H-06H-02H-0AH-08H。

四相步进电动机脉冲产生模块输出4位phase[3…0]信号, 其中phase3接步进电动机绕组的A相, phase2接步进电动机绕组的C相, phase1接步进电动机绕组的B相, phase0接步进电动机绕组的D相。四相步进电动机脉冲产生模块主要由内部的一个有限状态机构成, 状态转换如图3所示。在图3中, S0表示步进电动机的初始状态, 即S0代表状态机输出的08H, S1~S7则分别代表状态机输出的02H、06H、04H、05H、01H、09H。当方向控制信号Direction=1时, 状态机在一个时钟的驱动下输出S1即输出0AH, 当时钟连续驱动时, 状态机依次输出S2、S3、S3、S4、S5、S6、S7, 也即状态机输出08H-0AH-02H-06H-04H-05H-01H-09H, 即电动机正向转动时序;方向控制信号Direction=0时, 状态机依次输出S7、S6、S5、S4、S3、S2、S1, 也即状态机输出09H-01H-05H-04H-06H-02H-0AH-08H, 即电动机反向转动时序。

四相步进电动机脉冲产生模块的输出仿真波形如图4所示。当控制步进电动机的工作频率为1 kHz (即输入时钟周期为1 ms) , 复位信号reset无效 (低电平) , 方向信号ccw=0 (正向转动) 。

2 应用示例

基于FPGA的四相步进电动机控制器的应用示例框图如图5所示。外部控制器件采用AT89S52单片机, 功率放大器采用LN298, 步进电动机采用42BYG-007型二/四相混合式步进电动机。AT89S52通过8位数据总线、3位地址总线以及写信号wr、片选信号cs与FPGA连接;外部10 MHz晶振进入FPGA后分频得到1 μs基准脉冲;FPGA输出的4位phase[3…0]经过光耦隔离和功率放大器后驱动步进电动机。

设要控制步进电动机的转速为每秒500脉冲, 方向为正转, AT89S52写入以下指令即可通过该控制器实现对四相步进电动机的控制:

当AT89S52执行上述指令后, 即控制FPGA内部逻辑产生步进电动机运转所需的控制绕组的时序脉冲的频率、方向等参数, 使得步进电动机按照转速为每秒500脉冲正向转动方向进行运转。AT89S52无需再对步进电动机各个控制绕组的时序脉冲的频率进行控制, 减轻了AT89S52控制的软件开销, 且由FPGA内部实现步进电动机的控制逻辑, 也使得步进电动机的运行效果稳定可靠。

3 结语

本文介绍的基于FPGA的四相步进电动机控制器, 由于其内部包含了步进电动机启动、转速、正反转、脱机、复位等控制操作, 对于外部控制系统而言, 如同操作外设一样, 只需要配置相关寄存器即可由FPGA内部产生步进电动机运行时所需的控制信号, 这样减轻了外部控制系统操作步进电动机的软件开销, 提高了嵌入式系统运行的效率。仿真和实践结果表明, 该控制器控制灵活、调速范围大、精度高、运行稳定可靠。

参考文献

[1]徐煜明.步进电机速度控制的研究与实现[J].工矿自动化, 2007 (2) .

[2]张金波, 辛宇, 曹爱华.一种实用的三相步进电机驱动器的设计[J].工矿自动化, 2006 (6) .

[3]孟武胜, 李亮.基于AT89C52单片机的步进电机控制系统设计[J].微电机, 2007 (3) .

[4]袁雪林, 袁乃昌, 朱畅, 等.基于AT89C2051控制的多步进电机系统[J].测控技术, 2004 (12) .

[5]常晓明, 李媛媛.Verilog HDL工程实践入门[M].北京:北京航空航天大学出版社, 2005.

[6]冼进.Verilog HDL数字控制系统设计实例[M].北京:中国水利出版社, 2007.

[7]王诚, 吴继华, 范丽珍, 等.Altera FPGA/CPLD设计 (基础篇) [M].北京:人民邮电出版社, 2005.

上一篇:大面积地坪下一篇:视频监控的发展及问题