数字时钟课程设计

2024-10-01

数字时钟课程设计(通用7篇)

数字时钟课程设计 篇1

数字电子技术课程设计报告

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求

(1)设计指标

① 时间以12小时为一个周期; ② 显示时、分、秒;

③ 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④ 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤ 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。(2)设计要求

① 画出电路原理图(或仿真电路图); ② 元器件及参数选择; ③ 电路仿真与调试;

④ PCB文件生成与打印输出。

(3)制作要求

自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告

写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图

1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

0 / 12

(a)数字钟组成框图

2.晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

(b)CMOS 晶体振荡器(仿真电路)/ 12 3.时间记数电路

一般采用10进制计数器如74HC290、74HC390等来实现时间计数单元的计数功能。本次设计中选择74HC390。由其内部逻辑框图可知,其为双2-5-10异步计数器,并每一计数器均有一个异步清零端(高电平有效)。

秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图 2.4所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

十进制-六进制转换电路

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。利用1片74HC390实现12进制计数功能的电路如图(d)所示。

(d)十二进制电路

另外,图(d)所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用。

4.译码驱动及显示单元电路

选择CD4511作为显示译码电路;选择LED数码管作为显示单元电路。由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。

计数器实现了对时间的累计并以8421BCD码的形式输送到CD4511芯片,再由451/ 12 芯片把BCD码转变为十进制数码送到数码管中显示出来。

5.校时电路

数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。即为用COMS与或非门实现的时或分校时电路,In1端与低位的进位信号相连;In2端与校正信号相连,校正信号可直接取自分频器产生的1HZ或2HZ(不可太高或太低)信号;输出端则与分或时个位计时输入端相连。当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。

实际使用时,因为电路开关存在抖动问题,所以一般会接一个RS触发器构成开关消抖动电路,所以整个较时电路就如图(f)。

(f)带有消抖电路的校正电路

6.整点报时电路

电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

报时电路可选74HC30来构成。74HC30为8输入与非门。/ 12 说明:当时间在59分50秒到59分59秒期间时 分十位、分个 位和秒十位均保持不变,分别为5,9和5;因此,可以将分计数器十位的Qc和QA,个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。IO1分计数器十位的Qc和QAIO2U11VCCIO35VVCCX182345V分计数器个位的QD和QAIO456114V_0.5WIO512秒计数器十位的QC和QAIO674HC30D数字钟设计-整点报时电路部分

四、元器件

1.四连面包板1块(编号A45)2.镊子1把 3.剪刀1把

4.共阴八段数码管6个 5.网络线2米/人 6.CD4511集成块6块 7.CD4060集成块1块 8.74HC390集成块3块 9.74HC51集成块1块 10.74HC00集成块4块 11.74HC30集成块1块 12.10MΩ电阻5个 13.500Ω电阻14个 14.30p电容2个

15.32.768k时钟晶体1个 16.蜂鸣器10个(每班)1)芯片连接图

1)74HC00D

2)CD4511 / 12

3)74HC390D

4)74HC51D

2.面包板的介绍

面包板一块总共由五部分组成,一竖四横,面包板本身就是一种免焊电板。面包板的样式是:

/ 12 面包板的注意事项:

1. 面包板旁一般附有香蕉插座,用来输入电压、信号及接地。2. 上图中连着的黑线表示插孔是相通的。

3. 拉线时,尽量将线紧贴面包板,把线成直角,避免交叉,也不要跨越元件。4. 面包板使用久后,有时插孔间连接铜线会发生脱落现象,此时要将此排插孔做记号。并不再使用。

五、各功能块电路图

数字钟从原理上讲是一种典型的数字电路,可以由许多中小规模集成电路组成,所以可以分成许多独立的电路。

(一)六进制电路

由74HC390、7400、数码管与4511组成,电路如图一。

U1A3123U2A12Com74HC00D74HC00DU5SEVEN_SEG_COM_KABCDEFGU3AV1 32Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U413DADBDCDD5OAOBOCODOE1211109151474HC390D43~ELOF~BI~LTOGVCC5V4511BD将十进制计数器转换为六进制的连接方法

(二)十进制电路

由74HC390、7400、数码管与4511组成,电路如图二。/ 12 U4A3126U4B4574HC00D74HC00DComU3SEVEN_SEG_COM_KU1AV1 60Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U213DADBDCDD5OAOBOCODOE12111091514ABCDEFGVCC5V74HC390D43~ELOF~BIOG~LT4511BD十进制接法测试仿真电路

(三)六十进制电路

由两个数码管、两4511、一个74HC390与一个7400芯片组成,电路如图三。

(四)双六十进制电路

由2个六十进制连接而成,把分个位的输入信号与秒十位的Qc相连,使其产生进位,电路图如图四。/ 12

ComComSEVEN_SEG_COM_KU1B6453U1A12U4SEVEN_SEG_COM_KU7U11BABCDEFG64513DADBDCDD5OAOBOCODOE~ELOF~BI~LTOG1211109151421CLR141INA1INB3U10A12ABCDEFG74HC00D74HC00DU3B15122INA2INB142CLR132QA2QB2QC2QD11109U2712674HC00D74HC00DU8A31QA1QB1QC1QD5677126U913DADBDCDD5OAOBOCODOE12111091514VCC5V74HC390D43U1C891011U1D12134511BD74HC390DComVCCU643~ELOF~BI~LTOG5VSEVEN_SEG_COM_K74HC00D74HC00DABCDEFG84511BDComU15C91011U16DSEVEN_SEG_COM_K1213U14U3A131INA1INB21CLR1QA1QB1QC1QD5677126U513DADBDCDD5OAOBOCODOE1211109151474HC00D74HC00DU12B15122INA2INB142CLR132QA2QB2QC2QD111097126U13DADBDCDD5OAOBOCODOEABCDEFG***14V1 100kHz 5V474HC390D43~ELOF~BI~LTOGVCC74HC390D5V43~ELOF~BI~LTOG4511BD4511BD

(五)时间计数电路

由1个十二进制电路、2个六十进制电路组成,因上面已有一个双六十电路,只要把它与十二进制电路相连即可,详细电路见图五。

ComComComComComComU1SEVEN_SEG_COM_KU2SEVEN_SEG_COM_KU4SEVEN_SEG_COM_KU3SEVEN_SEG_COM_KU5SEVEN_SEG_COM_KU6SEVEN_SEG_COM_KABCDEFGABCDEFGABCDEFGVCCVCCABCDEFGABCDEFGABCDEFG5V***45VVCCVCC***49***45V***3121110***01514145V9VCCOG995V99OAOBODOAOBODOAOBODOEOEOCOCOCOFOFOEOGOAOBODOAOBODOAOBODOEOEOCOCOCOFOFOEOGOG~LT~LT~EL~EL~BI~BI~ELDADCDDDADCDDDADC~LT~LT~LTDBDB~EL~EL~EL~BI~BIDADCDDDADCDDDADCDBDB3DBDD~BI5V73DBDD4511BD54511BD******12643U23CU25A74HC00D***8U21A74HC00D13111038U20C74HC00D3U19A74HC00D131110974HC00D9356356772QB1QD2QD2QD1QB1QC2QB2QC2QB2QC1QB1QA2QA2QA1QA1QC1QD2QA2QC2QD61QB2INA1CLR2CLR2CLR1INA1INB2INA2INB2INA2INB1INA1INA1INB74HC00D161CLR74HC390D6151INB74HC00D111CLRU26B74HC390D74HC390N1174HC390N74HC390DU20B1574HC00D1262INB74HC00D74HC00D***242V1 1000Hz 5V时,分,秒计时电路图

(六)校正电路

由74CH51D、74HC00D与电阻组成,校正电路有分校正和时校正两部分,电路如图六。/ 12

142CLRU13AU16B1QA1QC1QDU24DU22BU14AU17BU20DU15AU18B74HC390N43~BI~LT4511BDOGU7U8OFU10VCC4511BDOGU9U114511BDOFU124511BD1010921921254***254IO1VCC正常输入信号5V校正信号IO2R1U2C9108小时校正电路J110Mohm74HC00D注意:分校时时,不会进位到小时。U11111213910U2DKey = A12R210MohmIO313U2A8123时计数器IO574HC00D1123674HC00D正常输入信号校正信号IO4R3U3A10Mohm12U2B456分计数器IO64574HC00D74HC51D3J274HC00DKey = B分钟校正电路分校正时锁定小时信号输入R410MohmU3B456图中采用基本RS触发器构成开关消抖动电路,其中与非门选用74HC00;对J1和J2,因为校正信号与0相与为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态,当开关打向上时,情况正好与上述相反,这时电路处于校时状态。74HC00D数字钟设计-校时电路部分

(七)晶体振荡电路

由晶体与2个30pF电容、1个4060、一个10兆的电阻组成,芯片3脚输出2Hz的方波信号,电路如图七。

(八)整点报时电路

由74HC30D和蜂鸣器组成,当时间在59:50到59:59时,蜂鸣报时,电路如图八。/ 12 说明:当时间在59分50秒到59分59秒期间时 分十位、分个 位和秒十位均保持不变,分别为5,9和5;因此,可以将分计数器十位的Qc和QA,个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。IO1分计数器十位的Qc和QAIO2U11VCCIO35VVCCX182345V分计数器个位的QD和QAIO456114V_0.5WIO512秒计数器十位的QC和QAIO674HC30D数字钟设计-整点报时电路部分

六、总接线元件布局简图

整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。

其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。

电路的信号输入由晶振电路产生,并输入各电路。简图如图九。

七、芯片连接总图

因仿真与实际元件上的差异,所以在原有的简图的基础上,又按实际布局画了这张按实际芯片布局的接线图,如图十。

八、总结

1. 实验过程中遇到的问题及解决方法

① 面包板测试

测试面包板各触点是否接通。

② 七段显示器与七段译码器的测量 / 12 把显示器与CD4511相连,第一次接时,数码管完全没有显示数字,检查后发现是数码管未接地而造成的,接地后发现还是无法正确显示数字,用万用表检测后,发现是因芯片引脚有些接触不良而造成的,所以确认芯片是否接触良好是非常重要的一件事。

③ 时间计数电路的连接与测试

六进制、十进制都没有什么大的问题,只是芯片引脚的老问题,只要重新插过芯片就可以解决了。但在六十进制时,按图接线后发现,显示器上的数字总是100进制的,而不是六十进制,检测后发现无论是线路的连通还是芯片的接触都没有问题。最后,在重对连线时发现是线路接错引脚造成的,改过之后,显示就正常了。

④ 校正电路

因上面程因引脚接错而造成错误,所以校正电路是完全按照仿真图所连的,在测试时,开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

2. 设计体会

通过这次对数字钟的设计与制作,让我了解了设计电路的程序,也让我了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。

3. 对设计的建议

我希望老师在我们动手制作之前应先告诉我们一些关于所做电路的资料、原理,以及如何检测电路的方法,还有关于检测芯片的方法。这样会有助于我们进一步的进入状况,完成设计 / 12

数字时钟课程设计 篇2

加强实验教学、提高动手能力与创新能力是高等教育的教学重点。伴随着电子技术的快速发展,元器件、设备仪器不断更新,现有的实验室条件无法满足各种电路设计、调试要求,尤其综合性、创新性实验需要多种仪器共同完成其功能,暴露出实验室仪器设备费用高、损耗大、更新慢的缺点,一般高校无法满足此类实验要求。电路仿真软件Multisim拥有庞大的元器件库,具有强大的虚拟仪器功能,有一般实验室少有的频谱分析仪、网络分析仪等虚拟仪器。在电子技术基础实验中引入Multisim,再配合传统的实验设备进行实验,减轻了购买、更新实验设备的资金压力。可以说,利用虚拟仪器技术进行实验教学已经势在必行。有些院校已经使用Multisim展开教学[1,2,3,4,5,6,7,8,9]。

1 数字时钟实验

数字时钟实验是电子技术基础实验中的综合性实验之一。数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路,通过设计数字时钟,学生会进一步了解数字时钟的原理和集成电路的使用方法,加深掌握逻辑电路的原理和使用方法。

1.1 数字时钟的组成

数字时钟是一个对标准频率(1 Hz)进行计数的计数电路。在计数时,如果起始时间和当前时间不一致,还需要加一个校时电路。同时,校时电路还可以在调试数字时钟时发挥重要作用。数字时钟构成如图1所示。

1.2 模60和模24计数器的实现

“秒”和“分”计数器都是模60计数器,由个位的10进制计数器和十位的6进制计数器组成。74LS90是10进制计数器,利用2片74LS90,通过异步清零功能,并配合与门74LS08使用,实现模60计数器或模24计数器的功能。

1.3 译码电路

译码电路可以选用4线-7段译码器/驱动器74LS248,采用共阴极LED数码显示器。

1.4 校时电路

当数字时钟接通电源或计时出现误差时,需要校准。常用的校准方法为“快速校准法”,即校准的时候使分、时计数器对1 Hz的秒脉冲信号进行计数[10]。

2 仿真、测试

实验环境:Multisim10.1,Windows XP。经实际测试,60进制和24进制计数器都能够运行正常,能够实现60进制和24进制的逻辑功能,校时电路也能够对时、分计数器进行校正。实现了数字时钟的功能。

仿真电路如图2所示。

3 结 语

使用Multisim仿真数字时钟时,如果按照现实中的时、分来计时的话,不便于观察时钟运行周期。比如,花费一天的时间才能观察24小时的显示周期是否正确。而提高输入脉冲的频率,可以“缩短”时间,实验者可以花费较少的时间观察时钟运行周期的变化。运行环境是CPU AMD Athlon 2.01 GHz,仿真脉冲最高频率达到240 MHz,再高的频率就影响LED的显示,无法清晰观察时钟的变化。数字时钟的实验还能做一些功能扩展,如整点报时、定时控制,可以留做大学生创新性实验的一部分。通过制作数字时钟,即加深了理论知识的学习,还锻炼了动手能力和创新能力。先利用Multisim仿真,再用实际器件搭建电路,实现逻辑功能,一方面节省了器件费用、减少了仪器损耗,另一方面,提高了工作效率。因此,利用Multisim强大的功能对电子电路进行仿真测试,参数精确可靠,可以提高电路的设计和分析效率。

参考文献

[1]李文秀,刘春艳.Multisim在电工电子技术实验教学中的应用[J].青海大学学报,2010(28):92-95.

[2]李春然,杨雅娟.移位寄存器的Multisim仿真[J].现代电子技术,2010,33(22):128-129,132.

[3]孙津平.利用Multisim实现RS触发器功能仿真测试[J].现代电子技术,2011,34(15):190-193.

[4]马敬敏.集成计数器74LS161的Multisim仿真[J].现代电子技术,2011,34(3):166-167,170.

[5]吕曙东.Multisim10在差动放大电路分析中的应用[J].现代电子技术,2010,33(22):24-27.

[6]曹鸿霞,冒晓莉.Multisim10在单管共射放大电路中的应用[J].现代电子技术,2011,34(14):169-172.

[7]朱华光.Multisim10在模拟电路实验中的应用及研究[J].现代电子技术,2010,33(15):192-196.

[8]于波,吕秀丽.Multisim11在高频电子线路教学中的应用[J].现代电子技术,2011,34(10):193-195,198.

[9]腾香.二进制译码器逻辑功能的Multisim仿真方案[J].现代电子技术,2010,33(20):11-12,16.

数字时钟课程设计 篇3

【关键词】单片机AT89S51 LCD1602 DS18B20 DS1302

1. 绪论

时代的进步和发展的迅速崛起,单片机技术在生活工作、科研等各个领域已经引起了人们的广泛关注,现在已经是一种比较成熟的技术。单片机主控芯片可控制数字温度计和数字钟,数字温度计属于功能较多的温度计,可以设置任意温度的上下限,而且还具有报警功能,当温度不在设定范围内时,也可以报警;数字钟可以同步显示时间日历,日期和时间,这些都可以通过按键进行调整。本文所论述的系统采用的DS1302可为掉电保护电源提供可编程的充电功能,并且可以关闭充电功能。系统显示部分可用LCD液晶显示屏显示,工作方便,外表美观。

2. 系統组成

数字温度计和数字时钟电路的总体设计方框图如图所示:

2.1主控制模块

主控制模块采用单片机AT89S51,AT89S51是由P0,P1,P2,P3四个通用8位I/O口 以及中断控制口,复位,写选通,接地,电源等引脚组成,其中,P0口是地址/数据总线复用口,P1口是一个含有上拉电阻的双向的I/O口,在校验程序中接收低8位地址,P2口与P1口的区别是在校验程序中接收高八位地址,P3口除了一般I/O口的功能外,还具有第二功能,这是其他I/O所不具有的功能,中断控制口可以控制中断的优先级。

AT89S51内部图如下:

2.2温度传感器DS18B20

温度传感器DS18B20包括温度传感器,高温触发器TH,低温触发器TL,配置寄存器,以及8位CRC发生器。DS18B20具有单线接口的优点,一个端口引脚就可以进行通信,而且多个DS18B20可以并联在三线上,多点组网功能就可以得以实现;用户同时还可以根据自己的要求进行报警设置,设计起来十分方便。DS18B20具有很多优点,例如像耐碰耐磨,小体积,方便使用,封装形式多样化等优点。

DS18B20内部结构框图如下图所示:

2.3液晶显示屏LCD1602

液晶显示器的优点有很多,功耗微小、小体积、内容显示丰富、轻巧超薄等都是它明显的优势,很多地方都开始了对其越来越多的使用。液晶模块LCD1602是一种用点阵图形的方法来显示字符的显示器,根据显示的内容量可以分为11行16个字、2行16个字等多种显示方式。

LCD1602内部图形如下:

3. 总结

数字时钟温度不仅可以显示精确的温度,因为使用了具有独特功能的时钟芯片DS1302,还具有同步更新的日期与时间以及闰年补偿的优点。

参考文献:

[1] 陈永真.全国大学生电子设计竞赛试题精解选.北京: 电子工业出版社,2007 .

[2] 李朝青.单片机原理及接口技术.北京: 北京航空航天大学出版社,1998 .

[3] 张琳娜,刘武发.传感检测技术及应用.北京: 中国计量出版社,1999 .

数字时钟设计开题报告 篇4

设计(论文)题目: 基于单片机的家庭报时系统硬软件设计

1、目的及意义

单片机是为了实现控制功能而设计的一种微型计算机,它的应用首先是控制功能,即实现计算机控制。单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已经渗透到我们生活的各个领域。单片机控制技术主要研究如何控制计算机技术和自动控制理论应用于工业生产过程中。随着科学技术的不断发展,单片机报时控制技术的应用领域已经日益广泛,如在冶金、化工、电力、自动化机床、工业机器人控制、柔性制造系统和计算机集成制造系统等工业测控方面,已经取得了令人瞩目的研究与应用成果,并在国民经济中发挥着越来越大的作用。

自从有了时间的概念,人们就开始研究如何计时。随着时代的发展,尤其是近些年来科学技术的飞速发展,计时的方式有了很大的进步,现在,也可以说是前些年,计时系统并不单单具有计时的功能,大都带有定时、自动报时的功能,并且,这种技术日趋完善,现在已被广泛的应用在我们生活、生产的方方面面,大到大型的企业集团,小到一个学校的定时系统以及家用的具有智能性的闹钟等。例如奥运会倒计时显示屏、铁路安全日显示屏、生产线看板、体育比赛记时屏、大型室外高亮度时钟等,这类产品覆盖银行、医院、地铁车站、体育运动、电视台、监控系统、高大建筑物等行业。而在这些时钟里,带自动报时功能的时钟以其特有的方式得到了更广泛的研究。 报时系统最重要的一个特性就是体现出其控制时间的准确性、精确性。本设计任务正是以时间为控制对象,设计一个由单片机控制的报时系统。我所设计的基于单片机的自动报时系统,它不仅能实现数字电子时钟的各种功能,如具有较时、调时、定时、闹钟等功能,而且还能实现定点报时的功能。实现时钟可提供秒、分、时、日、星期、月和年的显示。本设计具有简单,实用性强,成本低,使用维护方便,软件功能强,运行稳定可靠等优点。

2、研究(设计)的基本内容、目标、拟采用的技术方案及措施

研究(设计)的基本内容:

本设计是基于单片机为控制核心,采用模块设计法完成多功能电子钟的设计。本设计采用LED液晶显示屏显示时间,并在特定的定时时间到时,采用简单的单片录放音电路(ISD4004)准点报时,设计中还用到键盘输入电路,可通过按键实现定时,调时等功能,最后在LED液晶显示屏上显示。当然,整个设计过程需要单片机的编程来实现,然后通过仿真软件对各个模块和主电路进行仿真,确保系统的完整运行。

研究的基本目标:

设计出自动报时系统各个模块的电路,实现模块与模块之间的连接,完成各个模块的C语言编程,最终通过protel仿真技术来实现软件的编程和调试,使得系统完美运行。

拟采用的技术方案及措施:

(1)硬件平台设计:我所设计的是一个自动报时系统,自动报时系统用到的单片机芯片是AT89C51芯片,除此之外还包括晶振电路和复位电路构成单片机最小应用系统;还有独立式按键电路;动态显示电路;语言报时电路等等。系统电路设计框图如下图1所示。

(2)软件平台设计:自动报时系统用到了DS1302芯片,在此设计中用定时器来完成动态扫描显示,要有主程序,本设计使用按键来对DS1302写入时间校时,对时,设定时间的,所以要有DS1302的写时钟程序。语音报时系统中采用了ISD4004录放音芯片,因此还需要完成报时系统的定点报时程序。

(3)仿真及调试:系统调试包括硬件调试和软件调试,程序的调试一个模块一个模块的进行,单独调试各功能子程序,通过PROTEL仿真软件和KEIL软件来验证程序。

3、进度安排

3.2-3.7 查阅设计题目的相关资料

3.7-3.20 修改、完善开题报告,技术方案要详细具体、明确无误

3.21-3.28 学习51单片机,C语言的相关知识

3.29-4.14 确定电子钟的设计方案,完成最小系统的设计和写出汇编语言 的源代码

4.15-4.22 搭建最小系统模块,进行调试并且修改

4.23-4.30 撰写毕业设计论文目录,需要获得指导老师认可

5.1-5.17 根据论文目录撰写正文,内容层次清楚,格式规范、完善论文

5.18-5.24 上传论文

5.27-6.2 打印论文,准备答辩

4、参考文献

[1]陈权昌, 李兴富. 单片机原理及应用. 华南理工大学出版社,.8

[2]唐勇. 基于单片机的电子钟的设计. 湖南工学院, 2007

[3]谭浩强.C程序设计(第三版).北京.清华大学出版社,2007

[4]朱善军等.单片机接口技术与应用.北京.清华大学出版社,

[5]刘宁. 单片机多功能时钟的设计. 浙江海洋学院,

[6]黄智伟.全国大学生电子设计竞赛系统设计[M]. 北京:北京航空航天大学出版社,

[7]陈海宴.51单片机原理及应用[M].北京航空航天大学出版社,.

[8]何宏主.单片机原理与接口技术. 北京:国防工业出版社. 2006.07 [9]李及,赵利民.MCS-51系列单片机原理与应用.长春:吉林科学技术社,1995.

[10]李陪金.C语言程序设计案例教程.西安:西安电子科技大学出版社,.1

[11]朱清慧等.Proteus教程.北京.清华大学出版社,2008.

[12]李勋.单片机实用教程[M].北京:航空航天大学出版社,.6.

[13]Xiu-rui Song,Zhi-guo Liu ,Zhi-yong Wu,An-fu Yu. The system of 24-channel digital potentiometers based on single-chip microcomputer.Advanced Computer Theory and Engineering (ICACTE), 2010 3rd International Conference on .2010 .

[14]Leung Kin Chiu ,Kavanagh, P.Designing a clock cleaner with an on-demand digital sigma-delta modulator .Global Conference on Signal and Information Processing (GlobalSIP), IEEE .2013 , Page(s): 671 C 674.

数字时钟课程设计 篇5

目 录

一、设计目的二、程设计具体要求

三、单片机发展简史

四、8051单片机系统简介

五、8051单片机内部定时器/计数器简介

六、程序电路

七、程序流程

八、程序代码

九 实验总结-要求写出完整的论文以及心得体会

十 参考资料及小结

原 文 :一.目的1. 进一步熟悉和掌握8051单片机的结构及工作原理。

2. 掌握单片机的接口技术及相关外围芯片的外特性,控制方法。

3. 通过课程设计,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。

4. 通过实际程序设计和调试,逐步掌握模块化程序设计方法和调试技术。

5. 通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,为今后从事相应打下基础。

二.课程设计的体要求

a)原理图设计。

1. 原理图设计要符合项目的工作原理,连线要正确,端了要不得有标号。

2. 图中所使用的元器件要合理选用,电阻,电容等器件的参数要正确标明。

3. 原理图要完整,CPU,外围器件,扩器接口,输入/输出装置要一应俱全。

b)程序调计

1. 根据要求,将总体项能分解成若干个子功能模块,每个功能模块完成一个特定的功能。

2. 根据总体要求及分解的功能模块,确定各功能模块之间的关系,设直出完整的程序流程图。c)程序调试将设计完的程序输入,汇编,排除语法错误,生成*OBJ文件。

1. 按所设计的原理图,在实验平台上连线,检查无误。

2. 将汇编后生成的*OBJ文件传送到实验装置的,执行该程序,检查该程序、是否达到设计要求,若未达

到,修改程序,直到达到要求为止,d)说明书

1. 原理图设计说明

简要说明设计目的,原理图中所使用的元器件功能及在图中的作用,各器件的工作过程及顺序。

2. 程序设计说明

对程序设计总体功能及结构进行说明,对各子模块的功能以及各子模块之间的关系作较详细的描述。

3. 画出工作原理图,程序流程图并给出程序清单。

目前,单片机已广泛应用到图民经济建设和日常生活的许多领域,成为测控技术现代化必不可少的重要工具。

单片机电子时钟

作者:佚名来源:本站原创点击数:

491更新时间:2007年06月27日

DS1302是Dallas公司生产的一种实时时钟芯片。它通过串行方式与单片机进 行数据传送,能够向单片机提供包括秒、分、时、日、月、年等在内的实时时间

信息,并可对月末日期、闰年天数自动进行调整;它还拥有用于主电源和备份电源的双电源引脚,在主电源关闭的情况下,也能保持时钟的连续运行。另外,它还能提供31字节的用于高速数据暂存的RAM。鉴于上述特点,DS1302已在许多单片机系统中得到应用,为系统提供所需的实时时钟信息。

一、DS1302的主要特性

1.引脚排列

500)this.width=500 border=0>

图1DS1302引脚排列图

DS1302的引脚排列如图1所示,各引脚的功能如下:

X1,X2——32768Hz晶振引脚端;

RST——复位端;

I/O——数据输入/输出端;

SCLK——串行时钟端;

GND——地;

VCC2,VCC1——主电源与后备电源引脚端。

2.主要功能

DS1302时钟芯片内主要包括移位寄存器、控制逻辑电路、振荡器、实时时钟电路以及用于高速暂存的31字节RAM。DS1302与单片机系统的数据传送依靠RST,I/O,SCLK三根端线即可完成。其工作过程可概括为:首先系统RST引脚驱动至高电平,然后在作用于SCLK时钟脉冲的作用下,通过I/O引脚向DS1302输入地址/命令字节,随后再在SCLK

时钟脉冲的配合下,从I/O引脚写入或读出相应的数据字节。因此,其与单片机之间的数据传送是十分容易实现的。

二、时钟的产生及存在的问题

(1)在实际使用中,我们发现DS1302的工作情况不够稳定,主要表现在实时时间的传送有时会出现误差,有时甚至整个芯片停止工作。我们对DS1302的工作电路进行了分析,其与单片机系统的连接如图2所示。从图中可以看出,DS1302的外部电路十分简单,惟一外接的元件是32768Hz的晶振。通过实验我们发现:当外接晶振电路振荡时,DS1302计时正确;当外接晶振电路停振时,DS1302计时停止。因此,我们认为32768Hz晶振是造成 DS1302工作不稳定的主要原因。

500)this.width=500 border=0>

图2DS1302与单片机系统的连接图

(2)DS1302时钟的产生基于外接的晶体振荡器,振荡器的频率为32768Hz。该晶振通过引脚X1、X2直接连接至DS1302,即DS1302是依靠外部晶振与其内部的电容配合来产生时钟脉冲的。由于DS1302在芯片本身已经集成了6pF的电容,所以,为了获得稳定可靠的时钟,必须选用具有6pF负载电容的晶振。

然而,许多人在选用晶振时仅仅注意了晶振的额定频率值,而忽视了晶振的负载电容大小,甚至连许多经销商也不能提供所售晶振的负载电容。所以即使在使用中选用了符合32768Hz的晶振,但如果该晶振的负载电容与DS1302提供的6pF不一致时,就会影响晶振的起振或导致振荡频率的偏移,出现上述在应用中的问题。

三、利用辅助电容实现负载匹配

(1)当所选的晶振负载电容不是6pF时,可以采用增加辅助电容的方法提高或降低DS1302振荡器的电容性负载,使之与晶体所需的电容值匹配。如果已知晶体的负载电容为CI,若CI<6pF,则可以增加一个并联电容CS以产生所需的总负载电容CI,即CI=6pF+CS;若CI>6pF,则可以在晶体的一端增加一个串联电容CS,以产生所需的负载电容CI,即1/CI=1/6pF+1/CS,通过计算即可得出应增加的辅助电容大小。辅助电容的接法如图3所示。

图3CS连接电路图

(2)在使用前对晶体的负载电容并不知道的情况下,通过测定晶体振荡频率的方法可以确定该晶体的负载电容。

对于晶体振荡器来说,其振荡频率与负载电容之间的关系是确定的。以本文讨论的DS1302使用的32768Hz晶振为例:当它工作于所要求的负载电容时,能较准确地产生 32768Hz的频率;当它的负载电容小于6pF时,其振荡频率会正向偏移;当它的负载电容大于6pF时,其振荡频率就会负向偏移。因此,对于未知负载电容的晶体应首先采用实验的方法,在其两端加入辅助电容使晶体起振,然后用频率计测出振荡频率。若测得频率大于32768Hz,说明负载电容偏小;若测得频率小于32768Hz,说明负载电容偏大。对辅助电容逐步调整,最终使振荡频率尽可能接近32768Hz,则此时晶体端所接负载电容的总和就是适合该晶体的负载电容。

结论

以上方法经我们在实际工作中多次使用,证明确实有效。它放宽了DS1302在使用中对晶振的条件要求,增强了DS1302在工作中的稳定性,对DS1302更广泛地应用具有积极的意义。

华东交大理工学院_2007-2008 _学年第_ 一 学期

课程设计安排计划

班级:_05应电__课程:_单片机原理及接口技术_

一、课程设计题目:数码管时钟电路的设计

二、设计内容及要求:

LED数码管时钟电路24小时计时方式,时、分、秒用6位数码管显示。选用AT89C2051单片机,12MHZ晶振,6位共阳数码管,要求有调时功能,其他功能学生可自由发挥。

三、设计方法与步骤:

1.设计硬件原理电路,选择元器件、确定其参数。

2.设计印刷电路板电路(用面包板做)、焊接硬件电路。

3.设计汇编语言程序,调试硬件电路和程序。

4.编写课程设计报告。

四、设计时间安排:

1.第十九周:周一、二,设计硬件原理电路,选择元器件、确定其参数。

周三、四、五,设计印刷电路板电路(用面包板做)、焊接硬件电路。

2.第二十周:周一、二,设计汇编语言程序。

周三、四,烧录程序,调试硬件电路和程序。

周五,编写课程设计报告。

指导老师: 杨威

数电课程设计+数字电路课程设计 篇6

红 外 遥 控 器

院系:

专业:电气工程及其自动化班级:05电气(2)班 姓名:

学号:0520010221

目录

(一)概述………………………………(2)

(二)设计任务和要求…………………((三)元器件选择………………………((四)方案设计及其原理………………((五)总述及心得………………………((六)参考文献…………………………(2)3)3)5)6)

红外遥控器

一 概述

目前,遥控电路在我们的生活中已经运用得越来越广泛。生活中,从电视遥控器到空调遥控器,从电冰箱遥控器到计算机遥控器,无一不把人们从以前的繁杂的手动操作解放出来,甚至是在我们现代生活平时最广泛的手机应用中也出现了遥控操作。不得不承认,遥控装置已经日益在社会生活中占据更大的比重,因此,我们对遥控电路进行研究和设计是很有必要的。在此次电子电路课程设计中,我之所以选择了对遥控器电路进行设计,也正是由于遥控设备的重要性在社会生活中越来越多的突显出来,遥控电路的设计就目前我们大学生来讲还是比较有难度的,我觉得这是一个不错的自我挑战的机会。而且,我一直以来都对遥控电路感兴趣,我相信这一定能够给我今后的学习更多的帮助。二 设计任务和要求

下面,我就对相对较简单的对一个电机进行控制的双路红外遥控器的电路设计的要点等进行一番阐述:

1.外遥控器电路设计要点:

一个性能良好的红外发射和接收电路需要考虑四方面要求。

第一,须具有良好的抗环境干扰性能,因为往往使用遥控设备的周围环境都是相对比较复杂的,这主要表现在目前遥控设备多作为家用,而家庭环境里各种复杂的电器分布密度是很大的,必须要考虑到其它电器设备的干扰。

第二,是能够进行遥控动作的空间范围达到5m以上距离。这样一来,遥控操作时就避免了很多不必要的麻烦。

第三是避免不必要的误控制。

第四是良好的发射电路要有一个与之相适应的接收处理电路。要达到这四方面要求就要对36—42KHz的方波信号对编码信号进行调制处理。由于这里设计的红外遥控电路只实现两路控制,所以编码信号采用频率单一的方波信号。

2.关于红外遥控系统

通常红外遥控系统由发射和接收两部分组成,如图1所示。

在发射电路原理框图中,方波发生器1用两个开关控制产生两个不同频率的方波,方波发生器2产生36—42KHz的调制波形。两个方波信号经放大器放大后驱动红外管发射红外光。

如图2所示,在接收电路原理框图中,红外接收部分接收到红外信号后,通过译码电路译码驱动电机正转和反转。

三 元器件选择

在所设计的电路中,因为要涉及到编码、红外传输以及译码等环节,因此,在电路中,采用了四个二输入的与非门、不同大小的电阻电容若干、红外发光管、译码IC、电机等元器件,其中,在红外信号的发射电路中,不同的电阻电容的组合就产生不同的频率的方波,从而驱动红外发光管发出红外信号。四 方案设计及其原理

1.电路原理图设计及工作原理(1)发射电路原理图及工作原理

电路原理图如图3所示,设计思想如下:

由于所设计的红外遥控电路控制电机的正转和反转,所以要求发射和接收电路都要有两种工作状态,即要求发射电路能够产生两个频率发射出去,在电路图上用两个开关来实现的。20K的可调电阻辅助27K的电阻使发射电路产生36—42KHz的调制波形。

而发射电路的工作原理分析如下:

图3中,四个与非门采用集成芯片CC4011四二输入与非门。采用此集成芯片的好处是其外围电路简单,容易起振。CC4011与非门本身就带有与门电路,这样就克服了与门电路性能差的缺点。当合上开关Kl—2时,47O千欧电阻与2000PF电容产生大约310.lHz频率的方波;合上开关K2—2时,910千欧电阻与2000PF电容产生大约151.2Hz的方波,以上两个频率方波是通过F1、F2两个与非门实现的。F3、F4与外围元件产生36—42KHz的调制波形。两种波形通过放大电路后,即可驱动红外发光管发出红外线遥控信号。

如图3所示的电路工作在3v电源下,因此电源部使用两节1.5v电池代替即可,也方便延长遥控距离。而0.1uF的电容则起到滤波的作用,10欧的电阻则用作控制发射管电流的大小。

(2)接收电路原理图及其工作原理

电路原理图如图4所示:

本电路采用LM567音频译码IC来进行设计,电路每接收到一次遥控信号,LM567的8脚就翻转低电平。但在未接到遥控信号时,由于LM567的8脚输出常态高电平,四个三极管的基极电位都为高电位,没有形成电位差,电机不会转动。而当电路接收到一路遥控信号时,被其中一个音频译码器选中,次音频译码器8脚为低电平,电流通过BG1和BG2,被BG4翻转成高电平,BG2不工作。另一个译码器没有接收到遥控信号,8脚为常态高电平,电流流经BG3、BG4后在BG4处翻转,BG3不工作,这样就使BG1和BG4之间形成电位差驱动电机转动。同理,当另一个译码器选中发射电路发出的红外遥控信号后,8脚翻转成低电平,使BG3和BG2工作形成高低电位差驱动电机向相反的方向转动。两个过程刚好实现了电机的正转和反转。五 总述和心得

在以上设计的电路中,它实现了遥控电路对被控对象的两种功能控制。在此基础上,还可以设计更加复杂的多路红外遥控器。

数字时钟课程设计 篇7

关键词:DTT,SFN,时钟传递,Nimbra多业务平台

0 引言

近年来数字地面电视DTTV(Digital Terrestrial Television)在全国范围内的建设取得了长足的发展。数字地面电视可以容纳高信息容量,高度灵活的操作模式和频率规划、覆盖区域,支持不同的应用,支持多种工作模式等等。数字地面电视中单频网的建设不仅可以提高频谱使用率,而且可以提高覆盖范围,降低中断概率。而单频网的实现在发射端最大的技术难点是相邻多个发射机同频同时播出同一节目。以往对多个发射机的频率和时间的同步都是通过在每个站点接入GPS来实现,但GPS完全不受控于使用方,依赖于他人,军事色彩浓重。本文介绍一种新的通过传递同步时钟的方法,其独立于GPS,自我传输同步时钟,可以为SFN网络的建设提供独特的同步传输功能,并且可以使用在SDH/SONET网络架构,也可以使用经济有效的IP/Ethernet网络进行传输,即本文中重点介绍的TToIP(Time Transfer over IP)技术。

1 传统单频网时钟同步解决方案

在以往传统的观念中单频网SFN时钟同步是通过在每个发射台连接GPS时钟,使各发射台达到时钟同步的效果。在首站通过MPEG复用器将不同的节目流复用成一个或多个DVB-ASI格式信号,在SFN适配器中形成巨型帧,在同步时间戳(Synchronization Time Stamp)中插入时间信息。各发射站同步子系统通过对比同步时间戳中插入的时间信息和本地GPS接收到的时间信息做出传播时间补偿,并且计算出SFN同步需要的额外延时,如图1所示。

2 基于DTM技术的时钟传递在单频网中的应用

通过对多款国际主流多业务传输设备的了解,我们发现基于动态传输模式(DTM,Dynamic Transfer Mode)技术的Nimbra多业务传输平台具有其独特的时钟传输能力。通过Nimbra多业务传输平台可以将精准的实时时间信息通过同时载有视频信号的网络发布到各发射站点。在前端站点加入高精准的原子时钟,使多业务传输设备接收到和SFN适配器相同的参考信号(1PPS/10MHz)。时间和频率同步信号从前端设备经传输网络(SDH/SONET,IP/Ethernet)传递至各发射站台的节点设备,而各站的同步子系统可以从各节点多业务传输设备上获取同步时间和频率信号,如此便可脱离GPS同步方式。如图2,在前端源节点加入参考时钟信号1PPS/10MHz,并且给SFN适配器加入相同的参考时钟信号。

3 TToIP技术

基于Nimbra MSR多业务传输平台的时钟传递技术在SFN中的应用不仅限于SDH/SONET网络,本文将介绍更加具有经济效益的IP/Ethernet在SFN网络中进行时钟传递的方法——TToIP(Time Transfer over IP)技术。时钟传递功能使得每个DTM节点同步保持相同的绝对相位。其原理就是“双向时间和频率传递”,通过前端源节点向其他邻节点传递它的时间信息,邻节点向前端源节点反送它们的时间信息并且进行时间补偿,使得所有邻节点都和前端源节点工作在相同的时钟下。

TToIP最核心的理论是“往返延迟”和“往返时间”。往返时间即信号从发送端到接收端,再从接收端返回发送端的时间。往返时间延迟不依赖于节点的时间误差,它是一个精准的测量。

为应对由底层包网络引起的抖动和漂移,IP干线采用三种技术进行时钟的恢复:

1.在每个数据包中加入时间戳;

2.对预选数据包进行最小采样算法;

3.采用卡尔曼滤波数字PPLs抑制残余抖动。

每个数据包中都携带有时间戳信息,对于接收端来说将会接受到大量携带时间戳的可参考信息包,比如一个100Mbps的IP干线每秒至少可以传送8000个带有时间戳的包,这一点不同于IEEE1588PTP发送一个单独的时钟流每秒1~256个包。接收端通过这些丰富的携带有时间戳信息的包经过最小采样算法,选出具有最短时延的包信息,再通过开尔曼PPL过滤器恢复出一个稳定的时钟。

更重要的一点,TToIP和TTo SDH(Time Transfer ove SDH)在同一网络中可兼容,而且时钟经过干线平台传递后精度依然有高度保障。实际测试中,目标点收到的时钟信号与参考时钟信号通过IP/SDH混合干线传输经过12跳后仍能保持±1μs精度,如图3所示。

4 TToIP应用平台

基于IP传输的时钟传递技术是通过Net Insight公司的Nimbra MSR多业务传输平台。Nimbra平台包括多种边缘接入设备以及中继设备,根据业务类型,应用环境等选择合适的型号设备接入。其TToIP支持的平台包括:

1.Nimbra310/320/380固定干线接口;

2.3 x IP/Ethernet干线(应用于Nimbra600平台)。其中Nimbra380支持冗余双TSI(Time/Synchronous Interface)接口10MHz&1PPS,冗余TSI接口的设置进一步保证了可靠的时钟信息传递功能,如图4所示。

5 DTM技术

Nimbra MSR多业务传输平台集成的时钟传递解决方案基于由ESTI标准化的DTM(Dynamic Synchronous Transfer Mode)技术标准ETSI ES 201 803。DTM技术保证视频、语音、IP业务等的100%Qo S传输。DTM以信道方式提供服务,一个信道就是一组具有一个发送者和若干个接收者的时隙;共享物理媒体上的信道通过时分复用方式(TDM)。DTM技术(图5)结合了电路交换的可靠性和分组交换的灵活性,细化带宽颗粒度,最小可达512kbps,可根据业务需求建立独立的带宽通道,提高带宽利用率的同时扩展和提高了SDH/SONET网络的应用环境,适应了广播电视行业、多媒体、数据通信的需求,可同时进行多业务传输。

DTM技术协议每一帧时长125μs,每一帧由65bit的时隙构成,其中64bit为数据比特。时隙又分为数据时隙和控制时隙,每一时隙的容量为8×64=512 kbps。在整个系统容量中,控制时隙仅占极小的一部分,绝大部分是用于传送负载的数据时隙。

DTM信道分配灵活。信道的建立是从发送方到接收方,由两个相反方向的单工信道组成双工连接,他们可以有不同的带宽,从而实现非对称通信。信道可以有任意数量的数据时隙,因此,信道带宽可以是512kbps的任意倍数,直至链路最大容量。并且信道可以进行重新协商,通过增加或减少时隙,动态改变信道带宽,但数据传输不会终端。DTM信道在物理上实行分离,使每一路业务获得独立的通道,互不影响。

6结束语

虽然GPS具有很高的时钟精度,但同时也有很多局限性和对信号的干扰。那么通过Nimbra MSR多业务传输平台传递时钟的方式具有以下特点。其一,独立于GPS时钟。具有高安全性,时钟掌握在自己手中。具有高经济效益,省去每个发射站配备GPS时钟源设备,节约成本。其二,高可靠性。Nimbra MSR多业务传输平台支持冗余双时钟的传递。和业务传输类似,可通过协议路由重建,重选,保证时钟的传递。时钟信号和数据信号一起传输,所以只要链路能保证数据业务正常传输,时钟就可以传递。其三,可选择的传输通道多种多样。时钟的传递支持SDH/SONET,IP/Ethernet,微波干线等的干线方式。

综上,通过Nimbra MSR多业务传输平台进行时钟的传递不仅可以抛弃以往完全依赖于GPS进行同步功能的方式,而且可以降低建设成本,保证更高的可靠性,同时减少操作步骤,简化日常维护工作。Nimbra MSR平台在全球DTT网络中的应用为国内数字地面电视SFN网络建设提供了有价值的参考。

参考文献

[1]Hellstrom,B.Sweden.GPS-free synchronization of DigitalTerrestrial TV and Mobile TV distribution networks[N].2007.8;

上一篇:我和爸妈下一篇:校长2022春季开学讲话稿