数字电子技术eda

2024-09-12

数字电子技术eda(精选9篇)

数字电子技术eda 篇1

燕山大学EDA课程设计

实验报告

院系:信息科学与工程学院

姓名:

班级:

学号:

日期:

[实验名称] 八音电子琴

[实验要求] 1.能发出1.2.3.4.5.6.7.i八个音

2.用按键作为键盘

3.C调至B调对应频率如下表

音调 C(高音)B A G F E D C

频率(Hz)261.63x2 493.88 440.00 392.00 349.23 329.63 293.66 261.63 [实验电路设计] 1.命题分析

根据命题的要求,要使扬声器发音,需要在其输入信号端连接一个对应频率的方波信号.实验使用的信号源可以提供从几Hz到几兆Hz不等的信号频率,自然可以想到本实验命题的关键是一个具有相应分频比的分频器.考虑到硬件(按钮)在实际工作过程中会因元件的接触产生一些不可避免的抖动脉冲电平,会对实验造成影响,因此需要在按键接入线路中安装防抖动电路.2.设计过程

1>分频器

为了取得合适的电路复杂度和可接受的误差范围,分频器的时钟信号选取为器件所提供的JPCK—1(3MHz音频信号).然后通过计算,用时钟信号频率除以各发音频率,得到的分频比如下表:

分频比(16进

制)

5DEE 6384 6F84 7D62 8ADE 951C A760 BBDE

频率(Hz)高C:261.63x2 B:493.88 A:440.00 G:392.00 F:349.23 E:329.63 D:293.66 C:261.63 利用四片十六进制计数器74LS161就可以连接成适用的分频器.分频器连接完成后会产生一个预定频率的周期脉冲.但是实验要求的方波其占空比应该为1:1,因此在输出脉冲端加装一个T触发器,每次脉冲到达触发器的时候输出便会跳变电平,这就达到了驱动扬声器的条件.但是在应用了T触发器后输出方波的周期比预定的扩大了一倍,也就是说频率减至原来的二分之一.此时应重新选择时钟信号,令其为原来2倍即可.根据实验指导书,最终确定选择的时钟信号为6MHz.2>防抖动电路

利用D触发器的电压跟随特性可以用一个频率较低的时钟信号驱动,达到防止按键抖动的目的.电路较简单,见图3.图1.时钟分频电路(downway)

图2.CP为375K时上图的B4输出波形

由于设计的原因,本电路只能支持单音节输入.当同时键入两个以上的音阶时,分频比较小的(比较高的音阶)优先发声.图3.按键输入防抖动电路

3>电路组合

组合后的电路模块如图4.共有11个输入端和1个输出端.其中,CP_6M为整个电路的主频,使用电路板上的6MHz信号输出端;CP_1US为防抖动电路中触发器所使用的时钟,要求频率不高,选择电路板提供的CLK3-3(12Hz);KEYCa-B是电子琴的输入按键接口,依次是从低音到高音.Speaker是电路的输出端,接入扬声器,为其产生相应音阶的频率.实际电路如图4.连接完成后,对该电路进行仿真测试,如图5,令其CP_6M为6MHz,CP_1US为一个较低频率脉冲,设臵KEYB(音节B)为有效电平,在speaker上得到的输出频率为493,与实际音节的频率相同.图4.八音电子琴

图5.电子琴完成品的仿真波形

T触发器2分频电路

[硬件测试] [实习心得] 第一感觉,数字电子技术EDA实习很有意思.我们可以有充分的时间去思考怎么做出一个东西,这个东西的用处也许不大甚至几乎没有,但重要的是思考的过程:从它的用途总结出它的特性,从它的特性构思出它的原理,从原理到构建模型,再到模型的实现,利用已有的知识,可用的元件,最终组合出一个具有高度逻辑性的组合电路,这和我们小时候玩搭积木差不多.把积木一块块的搭成一座城堡,中间缺少任何一层甚至任何一块,城堡都可能会倒塌.同理,在我们构建命题所给的元器件时任何一个逻辑错误都可能是致命的,导致最后无法出现正确结果或者干脆不能用.因此,实习,有意思的同时还不能大意.这是一个锻炼逻辑思维和思维严谨性的极好的机会,我和我的同学们在这次工作中受益非浅.大家都积极思思考,查找资料,集思广益来解决现有的问题。在这个过程中我帮助了别人也得到了别人的帮助。

我在和别人研究问题的时候发现在所有题目当中,以分频器为基本的[八音电子琴]算是比较简单的,我很早就做完了.但是很多人的题目除了分频以外还要求臵数,可调,显示输出,以及产生相对复杂的多的时序,这让我觉得我做的快只是运气好,抽了个好签而已,同时我也了解到自己的不足之处.仍需努力.最后感谢老师们耐心的讲解和提点。

数字电子技术eda 篇2

1 EDA技术的设计流程

这样技术的应用可以说是数字电路的革命, 极大的改革了传统的电路设计模式, 彻底的摆脱了传统设计方法中的焊接、搭试等技术, 更加的智能化, 技术的设计流程为: (1) 输入分析, 在进行具体的项目或者某个环节进行设计时需要对源文件进行分析, 这些源文件多为一些原理图或者VHDL等, 或者其他先关类型文件和混合输入文件等; (2) 综合分析, 利用EDA技术来构建内容实现的综合器环境, 将VHDL的硬件和软件系统进行关联, 从而使得原文件统一起来, 所以在综合后硬件往往都有软件的特点。综合分析后EDA具有类似于硬件的逻辑编程能力, 且能够适配多种软件设计, 将逻辑电路的设计思路转化成门级电路, 且文件以表格形式按照顺序排列更加的直观清晰; (3) 适配, 适配中采用的是FPGA布局的方式, 该方法能够实现文件的统一并且能够基于统一目标实现逻辑映射, 合理配置底层文件, 实现逻辑升级并理清各类布局。在适配后也能够通过模拟时序来下载各类文件, 且支持多种格式, 适配后的对对象也能够和器件相匹配; (4) 仿真, 下载编程软件后可借助EDA软件适配结果来分析, 实现仿真效果, 按照时序仿真方式不同可分为两类:一类是按照时序仿真, 优点是能更好的反应器件在运行中的问题, 提高设计的精度, 一类是功能仿真, 能够模拟电路的逻辑功能, 分析器件是否达标, 发现硬件存在的问题。

2 基于EDA技术的实验架构

2.1 虚拟数字电子技术的实验框架

以几类常见的EDA开发工具为例, 如Matlab、Protel、Multisim等构件数字电子技术实验平台。那么该模拟数字电子技术实验的平台主要包含了两个部分, 一部分是以学习为主要目的, 主要的功能是实现实验的仿真功能, 一部分则是实验平台, 主要实现了实验平台信息的管理模块、评估模块等。并且这两个模块之间需要一定的信息交互, 从而实现功能完善的虚拟数字电子技术实验平台。

2.2 各个模块的框架

一般一个基于EDA技术的实验平台, 其实验仿真模块主要包含了以下4个部分:项目信息获取;基础知识和理论学习;完成模拟实验;处理实验结果。实验的学习者通过该模拟平台啦获取基础知识和理论, 并且能够通过平台完成相关实验操作, 选择合适的EDA工具软件, 完成实验要求, 并将能够将自己实验操作中的信息、数据以及相关的仿真参数、实验中间图纸、程序代码等保存为电子档或者纸质输出, 或上传到数据库进行保存, 便于随时翻看和校对。

基础知识和理论的学习分为4个部分:EDA工具的认识和学习;软件编程语言的学习;了解实验器件;相关理论和基础知识的学习。常见的EDA工具有Matlab、Protel、Multisim等, 应用最为广泛的语言为汇编语言, 其次是VHDL。实验的元器件主要是一些基本的数字芯片等, 另外, 系统还应该提供优秀的数字实验设计的案例, 学生可以参考优秀案例中的设计思想和路线, 从而更好的了解和学习关键技术, 加深对数字电路设计的理解, 并提升设计和实践操作的能力。

实验的管理和评估同样包含了4个任务模块分别为:能够发布实验内容;及时获取实验项目的完成信息;能够批阅实验项目;实现项目信息的管理。管理人员首先借助该平台将相关的内容发布出来, 等学习者完成实验后能够通过平台拷贝学生的实验结果。

实验平台管理包含了基础的登陆、注册、信息管理和故障处理等, 其核心任务就实现平台的日常管理。

3 EDA技术在数字电子技术实验中的具体应用

基于EDA技术的数字电子技术实验应用从低到高可以分为验证型、设计型和创新型3种, 而数字电子技术实验的应用使得实验的思路和逻辑更加清晰, 提高了学习和实验的效率, 这里以两个实验为例分析数字电子技术实验的具体应用。

3.1 全加器实验

输入方式选择原理图编辑, 主要任务是初步了解Quartus II工具, 并了解EDA技术的实现的基本流程和思想, 最终检验电路的逻辑功能。基本的操作步骤与上文中描述一致, 教师通过模拟数字电子技术实验平台来向学生推送相关基础知识以及电路的原理图, 了解基本的设计原理以及一些细节性的操作等, 感受传统型实验模式和基于EDA技术实验的区别。由于在实验过程中的操作均是通过计算机来完成的, 因此实验的效率非常高, 无需像传统实验那样进行大量的准备工作。实验的验证也非常的简单, 仅需要将下载的仿真验证导入到素质试验箱中即可。

3.2 数字电子时钟实验

有一定的数电技术基础的人都知道这个数字电子时钟的实现有多种形式, 在实验中可供选择的新片也非常的多, 74HCI60和74HCI163等均能实现, 但是设计原理图也存在一定的差异。当然了实验中还能够通过编写Verilog和VHDL代码来实现, 但是要注意的是, 如果仿真实验中参数发生了变化, 那么板极测试也需要重新编写。在设计完成中, 要鼓励学生尝试多种不同的形式, 从而感受基于EDA技术的数字电子技术实验的灵活性。

4 结语

基于EDA技术的数字电子技术实验彻底改变了传统的数字系统设计模式, 使得电子系统的设计更加的直观和清晰, 并逐渐的转化为一种基于VHDL语言编程为核心的技术, 极大的提升了电子系统设计的质量和效率。该文对EDA技术的应用进行了分析, 并提出了基于EDA技术的实验平台构建, 为EDA技术在数字电子技术实验中的应用提供了思路。

摘要:随着信息技术的进步, 传统的数字电子技术实验教学已经无法满足现实的需求。当前EDA技术在数字电子技术实验中的应用非常广泛, 并逐渐的成为了数字电子技术实验的主要形式。为此该文将详细的分析EDA技术的实现步骤, 对基于EDA技术实验框架进行详细的分析, 为EDA技术的应用提供参考。

关键词:数字电子技术实验,EDA技术,实践应用

参考文献

[1]王彩凤, 胡波, 李卫兵, 等.EDA技术在数字电子技术实验中的应用[J].实验科学与技术, 2011 (1) :4-6, 110.

[2]高旭东, 徐泽清, 张立.关于EDA技术应用于数字电子技术实践教学改革的研究[J].黑龙江科技信息, 2012 (19) :165-166.

[3]王波, 张岩, 王美玲.“数字电子技术实验”课程的改革[J].实验室研究与探索, 2012 (9) :121-123, 127.

[4]马晓虹, 尹向雷.数字电子技术实验教学的改革与探索[J].实验技术与管理, 2012 (10) :172-174.

[5]刘银萍, 陈惠珊“.数字电子技术”实验教学改革的探讨[J].实验室研究与探索, 2006 (8) :981-983.

数字电子技术eda 篇3

关键词:EDA技术;数字电子技术;实验中学;电子设计自动化;计算机;电路实验 文献标识码:A

中图分类号:G632 文章编号:1009-2374(2016)15-0047-02 DOI:10.13535/j.cnki.11-4406/n.2016.15.022

1 概述

数控机床是当代加工精度最高的设备,在整个制造业中运用最广泛。采用宏程序编程能加工几何形状比较复杂的零件,尤其是具有列表曲线、非圆曲线和曲面的不规则零件,如此能更高效地利用数控系统的性能,提高数控机床的生产效益。宏程序编程是根据加工零件图形轮廓规律运用数学公式、参数方程、微积分方程等有关数学知识利用基本计算方法来编写加工程序,解决实际工程问题。抓住图形轮廓规律,巧妙运用数学公式,灵活地运用好变量,可使宏程序编写得更灵活简便。宏程序编程千变万化,但万变不离其宗,掌握零件轮廓规律及其数学公式,就掌握了宏程序编程的方法。

2 宏程序

宏程序在制造业中运用广泛、实用性大,在宏程序的编写过程中能将数学定理、公式、微积分方程等相关知识点很好地运用到程序中,宏程序也是利用最基本的数学运算方法去解决实际工程制造问题的方法。宏程序编程变化灵活,可以活学活用,掌握零件轮廓特征和规律是宏程序编程的关键。利用数学知识,灵活运用变量,可以实现多种编程方法解决实际问题。

2.1 宏程序定义

使用宏变量编写出来的程序叫宏程序。编写一般的程序时,程序地址符后为常量,一个程序只能加工一个固定形状的零件,适用范围狭小。宏变量就是宏程序地址符后的变量,宏变量的改变可以运用赋值语句改变赋值的方法实现,使宏程序具有一般程序不能实现的通用性。合理调用子程序语句、配合循环语句和分支语句,可以编写出各种复杂零件、不规则曲面的加工程序。

2.2 宏程序的特点

宏程序和一般数控程序的主要区别在于能支持变量、运算及程序的流程控制。

2.3 宏程序的使用过程

使用宏程序时,首先要对参数变量进行赋值,其次对各个参数变量关系进行运算,最后通过逻辑判断达到控制程序跳转,达到对程序的控制。

2.4 宏程序指令格式(以FANUC 0i系统为例)

变量:就是取值能变化的量。当指定一个变量时,要在符号(#)的后面指定变量号。不能像通用程序语言那样给变量起名字。[例如]#1。

表达式也可用来指定变量号,但此时必须要将表达式放在方括号里面。[例如]#[#1+#2-10]。

运算命令:变量之间可以进行下列运算。右边的表达式可通过常数、变量、函数和算符结合。表达式中的变量#j和#k可用常数替代,另外也可以将表达式使用于左边表达式的变量号中。

运算符:包括算术运算符、条件运算符和逻辑运算符等。每个算符由两个字母组成,用来比较两个值,决定它们是否相等或一个值比另一个值小或大。

注意:不能用不等号。

循环语句:由循环判断语句、循环体和结束语

组成。

IF[〈条件表达式〉]GOTOn:如果指定的条件表达式满足,则转移到序列号为n的语句;如果条件表达式不满足,程序执行下一程序块。

循环(WHILE语句):在WHILE后指定条件表达式。如果当指定的条件表达式满足时,程序从DO执行到END。如果指定的条件表达式不满足,程序执行END后面的程序块。

备注:当指定的条件表达式满足时,执行WHILE后,程序从DO执行到END。如果指定的条件表达式不满足,程序执行与DO对应的END后面的程序块。条件表达式和算符与IF语句相同。DO和END后面的数值是指定执行范围的识别号,可用1、2、3作为识别号。

识别号(1~3)在DO~END循环中可多次使用,使用时注意程序中不能含有交叉循环。

3 椭球面方程及其参数方程

在空间直角坐标系下:

由式(1)所表示的曲面叫做椭球面或称椭圆面,其中a、b、c为任意正常数,通常假定a≥b≥c>0。该方程叫做椭球面的标准方程。

假设椭球面上任意一点P(x,y,z)如图1(a),设式(1)中a>b>c。如图1(b)所示,以O为球心,分别以a、b、c为半径作三个同心球,从O任引射线ON,设分别交三球面于A、B、C,则OA=a、OB=b、OC=C,将射线ON向XOY平面投影,设A、B、C的射影分别为A′、B′、C′,设∠ZON= ,∠XON=φ,过A′、B′分别作A′A1⊥XO、B′B1⊥XO,过A作平面⊥X轴,过B作平面β⊥Y轴,过C作平面γ⊥Z轴,设三平面交于一点P(x,y,z),则有:

4 应用实例

加工一个凸椭球,椭球的长半轴轴长为25mm,短半轴长为15mm,椭球高10mm,要求使用宏程序编写凸椭球加工的程序。

4.1 椭球加工思路

在椭球面高度范围内(0~10mm)垂直Z轴将椭球分为N份,所得所有截面与X0Y平面平行,截面与椭球相交曲线为椭圆,此椭圆轨迹可利用宏程序进行加工都与XY轴平行,N值越大加工效果越接近椭球形状的,加工精度越高。

4.2 选取宏变量

宏程序编制根据零件规律灵活选取宏变量是关键,选取宏变量不同,加工程序编写方法就不同。利用椭球面高度范围内(0~10mm)垂直Z轴将椭球分为N份加工凸椭球宏变量选取有两种:一种是等距法,将椭球面高度平均分为N等份,这种方法计算每份Z轴坐标较繁琐;另一种方法是等角度法,所分椭球截面曲线同一方向与椭球心夹角相等,都为 ,如图2所示。这种方法刚好套用得上椭球面的参数方程,计算Z轴坐标方便,所以加工凸椭球选用等角度法取宏变量,由上往下加工,采用了等角度增量,确保凸椭球各加工部位保持加工精度一致。根据凸椭球的参数方程可知,每增加一个转角 (0≤θ≤90°),就能计算出节点坐标P(x,y,z),P点的轨迹z=f(x)就是一个椭圆垂直Z轴的截面。增加的 越小,加工凸椭球的精度越高,表面粗糙度越好。

4.3 加工程序

5 结语

宏程序编程其关键在于宏变量的选取,选取不同的宏变量,编写的方法也不相同。编程时,要根据零件的结构特征,分析零件所需加工表面的几何关系,运用数学公式推导出所选参数宏变量间的关系。有效利用零件轮廓规律及其数学公式编写宏程序,大大提高了手工编写宏程序效率,解决了手工编写某些复杂零件、不规则曲面加工程序难题。

参考文献

[1] FANUC 0i-MC操作 B-64144CM/01[S].

[2] 赫英歧.巧用宏程序加工椭球面[J].科技经济市场,

2008,(10).

[3] 安春香.椭球面参数方程的推导及其参数方程的几何

意义[J].洛阳师范学院学报,1998,(2).

作者简介:何祥财(1981-),男,广西贺州人,上汽通用五菱汽车股份有限公司助理工程师,研究方向:汽车发动机制造设备维修。

EDA数字钟毕业设计 篇4

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、时钟源使用频率为0.1Hz的连续脉冲。

3、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

4、时钟显示使用数码管显示。

基于VHDL的多功能数字钟的设计 EDA课程设计 资料类别课程(专业)EDA 适用年级大学文件格式word+DLS 文件大小1725K 上传时间2008-10-10 20:57:00 预览文件无(只能预览文件中的部分内容)下载次数0内容简介:EDA课程设计 基于VHDL的多功能数字钟的设计,共11页,6086字,附源程序。摘要:介绍了利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUSII开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下栽验证。仿真和验证结果表明,该设计方法切实可行。

EDA-时钟设计-基于Altera数字钟的实

数字电子技术eda 篇5

课程学习报告

课程名称: EDA原理与电子CAD技术 班级: 学号:

姓名: 成绩:

指导教师: 王艳荣

内蒙古工业大学信息工程学院课程学习总结报告

课程学习报告撰写内容及要求

一.开课目的

1.了解完整的PCB板设计工序及方法; 2.掌握制作元件原理图库、封装库的方法; 3.掌握PCB板设计方法及其后处理。

二.学习用仪器设备、器材或软件环境

1.微机(最低配置: Pentium 4 CPU, 128M内存); 2.Protel DXP软件(最低版本:V7.0);

3.Windows2000/XP环境、MS Office 2000以上版、Adobe Acrobat 5.0以上版。

三.电路原理图的编辑(配合操作界面截图详细阐述)1.进入原理图编辑器

(1)点击DXP图标进入原理图编辑器,再设计窗口的pick a task 区中点击create a now board level design project,创建PCB文件。

(2)选择file>save project as 将新项目重命名,并保存到自己指定的相应位置(3)选择file>New点击schematic sheet 创建一个原理图图纸

(4)选择File>Save As 来将新原理图文件重命名并保存到自己指定的相应位置

内蒙古工业大学信息工程学院课程学习总结报告

2.原理图编辑器工作环境参数的设置

(1)文件夹选项的设置

从菜单选择design>options,在sheet options标签,找到standard styles格,点击输入框旁的箭头将看见一个图纸样式的列表,将图纸大小设置为标准格式,如下图所示:

内蒙古工业大学信息工程学院课程学习总结报告

(2)原理图参数的设置

从菜单中选择Tools>preferences,打开原理图参数对话框,设置全部参数,如图所示:

(3)选择File > save 保存这个原理图图纸所有设置

3.装载元件库(包括编辑元器件的过程)

(1)定位元件库和加载元件库

○1点击libraries 标签显示库工作区面板

○2在库面板中摁下search 摁钮,打开查找库对话框

○3确认scope被设置为libraries on path,并且path区含有你库的正确路径

内蒙古工业大学信息工程学院课程学习总结报告

内蒙古工业大学信息工程学院课程学习总结报告

○4想查找元件在输入元件名加* ○5点击search按钮开始查找,当查找进行时results 将显示搜索结果,如果已经出现符合的内容可以按stop停止搜索。

○6点击miscellaneous devices intlib 库选择

○7点击Install library 按钮使这个库在原理图就可完成

内蒙古工业大学信息工程学院课程学习总结报告

4.调用元器件的过程及步线(有编辑好的电路原理图)

(1)从Tools工具栏中点击Wirc或直接点击

工具进入连线模式,光标变为十字形状。

(2)将光标放在某元器件的连线端,一个红色的连接标记会出现在光标处,表示光标在元件中的一个连接点上。

(3)左击鼠标固定第一个导线点,移动光标会看见一根导线从光标延伸到固定点。

同理其他元件之间按如上方法操作,所得原理图如下:

内蒙古工业大学信息工程学院课程学习总结报告

5.生成网络报表及元件报表

(1)设置网络报表

选择project > project options 打开对话框单击 options选项卡,可以对网络报表的路径等进行设置。

(2)产生网络报表

选择 design > Netlist > Protect ,即可产生前项目的网络报表。

所的元件报表如下图所示:

内蒙古工业大学信息工程学院课程学习总结报告

四.PCB板设计(配合操作界面截图详细阐述)1.进入PCB编辑器

(1)在Files面板的底部的New单元创建新的PCB。

(2)PCB Board Wizard 打开,点击Next继续。

(3)设置度量单位为Imperial。

(4)选择Rectangular 并将Width和Height 分别设置,取消点击Inner Cutoff,继续为Next。

内蒙古工业大学信息工程学院课程学习总结报告

(5)设置板子的层数,设计双面板,所以Signal layer为2,power planes设为0,点击Next继续

(6)选择Thru-hole vias only穿透式孔。(7)点击OK完成。

2.PCB编辑器工作环境参数的设置

定义板层和其它非电层

(1)选择Design > Layor stack manager 显示对话框。

(2)新层和平面添加在当前所选择的层下面,层的序数,采用默认设置,点击OK关闭对话框。

内蒙古工业大学信息工程学院课程学习总结报告

环境和格点设置

(1)选择design > Broard options 均采用默认设置。

3.装载网络和元件封装(包括编辑元器封装的过程)

(1)点击Libraries 标签显示库工作区面板。

(2)点击Libraries按钮,找到元件所在库文件。

(3)在Miscellaneous Connectors Intlib的下拉菜单中选择LCD controller Schlib ,在元器件的下拉菜单中选中文件,放置元件与画原理图时方法一样。

4.元件布局、自动步线及手工调整(有设计好的pcb板)

1:在PCB中放置元件

(1)放置某一元器件,将光标放在连接器轮廓的中部上方,摁下鼠标左键不放,光标会变成一个十字形状并跳到元件的参考点。

(2)不要松开鼠标左键,移动鼠标拖动元件。

(3)拖动连接时,按下SPACE键将其旋转90度,然后将其定在板子的左边。2:自动布线

(1)从菜单中选择 Autoroute > ALL ,situs Rounting Stratogies 对话框出现,开始进行自动布线。

(2)自动布线完成后,摁END键将刷新显示布线结果。

(3)选择 FILE > Save 保存PCB板。设计好的PCB板如下图所示:

内蒙古工业大学信息工程学院课程学习总结报告

内蒙古工业大学信息工程学院课程学习总结报告

5.DRC及报表生成

(1)DRC 及报表生成

启动设置规则检查DRC的方法是执行主菜单命令 Tools > design Rule Checker 对话框。

(2)生成检查报告

对要进行检查的规则设置完成后,在Rules to check 对话框中单击Run Design Rule Check按钮,进行规则检查。系统将弹出Messages信息框,可以列出了所有违反规则的信息项。

五.学习中遇到的问题及体会

通过本课程的学习,我学会了如何画电路图,并且知道了千里之行、始于足下的道理,再利用原理图编辑器画电路图过程中,期中经过反复的修改才编译成功,能够顺利完成一件事情是不容易的。出了严谨的做事态度之外,还要有永不放弃的精神,从中我学到了很多。刚开始我学这门课时,我对自己没抱任何信心,就担心自己不会,认为这就是个难关。之后,自己查阅资料了解到,这门课并没有我想象的那么难。就这样一步一步的学会了相关的操作。当自己功课攻难的时候,回顾自己所走过的过程,才觉得并不难,顺利完成了PCB板的设计让我感觉到有所成就感。

我们总是容易被自己吓倒,在事情没做之前,总认为事情如何的难解决,觉得自己没办法解决。但事实上是,只要自己下定决心就没有自己所做不到的事情。本课程的学习,不仅让我又学会了一种画图软件,更加重要的肯定了自己能力,也更加明白只要认真做事情,就能成功。

六.参考文献

数字电子技术eda 篇6

1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么?

(1)大容量、低电压、低功耗(2)系统级高密度

(3)FPGA和ASIC出现相互融合。(4)动态可重构

2、EDA技术的优势是什么?

缩短开发周期,有各类库的支持,简化逻辑设计,有利于设计文档的管理,能仿真测试,开发者有自主权,将所有开发环节纳入统一的自顶向下的设计中,有效的利用了计算机的自动设计能力。

3、EDA的设计流程包括哪几个环节?

①设计输入(原理图/HDL文本编辑)②综合 ③ FPGA/CPLD 适配 ④ 时序仿真与功能仿真 ⑤FPGA/CPLD编程下载 ⑥FPGA/CPLD器件电路硬件检测。

4、硬件描述语言的种类有哪些?

VHDL、Verilog HDL、SystemVerilog、System C 等

5、自顶向下设计方法的优点是什么?

过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面积耗用,降低功耗和成本等。在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。高效,高稳定性,省时省力,成本较低。

6、ip核可分为哪几类?

①软IP、②固IP、③硬IP

7、ip在EDA技术的应用和发展中的意义是什么?

IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计风险。IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

【第二章】

1、可编程逻辑器件经历哪些发展过程?

PLD,PLA,PAL,GAL,EPLD,CPLD/FPGA

2、FPGA的配置方式有哪些?

PS(被动串行)、PPS(被动并行同步)、PPA(被动并行异步)、PSA(被动串行异步)、JTAG模式、AS(主动串行)

3、JTAG?

JTAG是英文“Joint Test Action Group(联合测试行为组织)”的词头字母的简写。JTAG边界扫描技术。

【第三章】

1、verilog中标示符的命名规则是什么?

a.标识符

Verilog HDL中的标识符(Identifier)是由任意字母、数字、$符号和_(下划线)符号的组成的字符序列,但标识符的第一个字符必须是字母或者下划线。此外,标识符是区分大小写的。

转义表示符(Escaped Identifier)为在标识符中包含任何可打印字符提供了一条途径。转义标识符(反斜线)符号开头,以空白结尾(空白可以是空格、制表符或换行符)。在转义标识符中,反斜线和结束空格并不是转义标识符的一部分。

Verilog HDL语言中定义了一系列保留标识符,叫做关键词,仅用于表示特定的含义。注意只有小写的关键词才是保留字。

指导原则:不能用大小写混用字符串表示关键词,也不能把转义的关键词作为标识别符。

b.注释

在Verilog HDL中有2种形式的注释:

/*开始,直到*/ //第二种形式:到本行结束为止

c.格式

Verilog HDL是大小写敏感的,也就是说,字符相同而字体(大小写)不同的两个标识符是不同的。此外,Verilog HDL语句的格式很自由,即语句结构既可以跨越多行编写,也可以在一行内编写。空白(空白行、制表符和空格)没有特殊含义。指导原则:行的长度必须小于132个字符。

2、端口模式有哪些?

1、INPUT

2、OUTPUT

3、INOUT双向端口

3、Verilog中有哪些基本的数据类型?

Reg,wire,parameters,integer

4、verilog中两种基本的数据类型net(wire)和reg的区别

两者的区别是:即存器型数据保持最后一次的赋值,而线型数据需要持续的驱动

输入端口可以由net/reg驱动,但输入端口只能是net;输出端口可以使net/reg类型,输出端口只能驱动net;若输出端口在过程块中赋值则为reg型,若在过程块外赋值则为net型 用关键词inout声明一个双向端口, inout端口不能声明为寄存器类型,只能是net类型。wire表示直通,即只要输入有变化,输出马上无条件地反映(如与、非门等简单的连接);reg表示一定要有触发,输出才会反映输入。

不指定就默认为1位wire类型。专门指定出wire类型,可能是多位或为使程序易读。wire只能被assign连续赋值,reg只能在initial和always中赋值。wire使用在连续赋值语句中,而reg使用在过程赋值语句中。

wire若无驱动连接,其值为z,reg默认初始值为不定值x。

reg表示一定要有触发,没有输入的时候可以保持原来的值,但不直接与实际的硬件电路对应。

5、verilog中的时钟过程表述的特点和规律

1.某信号被定义成边沿敏感时钟信号,则posedge A或 negedge A放敏感表中,always结构块中不能再出现信 号A了。

2.若B被定义成对应于时钟的电平敏感异步控制信号,则除 posedge B或negedge B放敏感表中,always块中必须 给出逻辑描述,即表述上是边沿敏感,性能上是电平敏感。

3.若某信号对于时钟同步,则不能出现在敏感信号表中。

4.敏感表中边沿敏感信号和电平敏感信号不能同时出现。

【第五章】

1、简述阻塞式赋值和非阻塞式赋值的区别

=,立即;<=过程结束

(1)同一个块程序中:阻塞赋值语句是顺序执行的;非阻塞赋值语句是并行执行的。

(2)在组合逻辑建模中应使用阻塞赋值;在时序逻辑建模中应使用非阻塞赋值。

(3)无论是阻塞赋值语句还是非阻塞赋值语句,若在该语句之前对其值进行应用,则只能引用其上一个时钟周期赋于的旧值。

2、verilog语言有哪几种描述风格?

RTL描述、行为描述、数据流描述、结构描述

3、简述任务和函数语句的区别

a.任务可以有input、output和inout,数量不限,函数只有input参数,且至少有一个input;b.任务可以包含有时序控制(如延时等),函数不能包含有任何延迟,仿真时间为0;c.任务可以用disable中断,函数不允许disable、wait语句;d.任务可以通过I/O端口实现值传递,函数名即输出变量名,通过函数返回值;e.任务可以调用其他任务和函数,函数只能调用其他函数,不能调用任务;f.任务可以定义自己的仿真时间单位,函数只能与主模块共用一个仿真时间单位;

g.函数通过一个返回一个值来响应输入信号的值,任务却能支持多种目的,能计算多个结果值,结果值只能通过被调用的任务的输出端口输出或总线端口送出;另外在函数中不能有wire型变量.任务定义语法:

task <任务名>;

<端口及数据类型声明语句>

<语句1>......endtask

函数定义的语法: function <返回值类型或范围>(函数名)

<端口说明语句>

<变量类型说明语句>

begin

<语句>......end endfunction

【第八章】

1、状态机的优点

①高效的顺序控制模型

②容易利用现成的EDA优化工具 ③性能稳定

④设计实现效率高 ⑤高速性能

2、状态机的状态编码有哪几种?各自的优缺点是什么?

① 直接输出型编码:这种编码最典型的应用就是计数器。直接输出型编码方式就是所谓的用户自定义编码方式,它的优点是输出速度快,不太可能出现毛刺现象。缺点是程序的可读性差,用于状态译码的组合逻辑资源比其他以相同触发器数量触发器构成的状态机多,而且控制非法状态出现的容错技术要求比较高。

②顺序编码:优点是这种编码方式最为简单,在传统设计技术中最为常用,其使用的触发器最少,剩余的非法状态也最少,容错技术较为简单。缺点也很多,如常常会占用状态转换译码组合逻辑较多的资源,特别是有的相邻状态或不相邻状态的状态转换时涉及多个触发器的同时状态转换,因此将耗费更多的转换时间,而且容易出现毛刺现象。③一位热码状态编码:一位热码状态编码虽然占用了较多的触发器,但其简单的编码方式大为简化了状态译码逻辑,提高了状态转换速度,增强了状态机的工作稳定性,这对于含有较多的时序逻辑资源、相对较少的组合逻辑资源的FPGA器件是最好的解决方案。

3、常用的去除毛刺的方法有哪几种?

①延时方式去毛刺

数字电子技术eda 篇7

传统的数字电子技术教学往往采用依据课本的讲授法教学, 以“教”为中心, 即以教师为主体, 由教师提供教学资料, 组织和控制教学过程, “教法”制约了“学法”, 造成学生只能“照葫芦画瓢”, 完全被动, 不明白所学的知识在实际生活中的应用与作用, 降低了学生的兴趣, 并且使的学生的知识迁移能力大大下降, 阻碍了学生创造性发挥, 不利于培养学生独立思考、分析、解决问题的能力。

当今的教学实践中, 结合理论教学的进程, 利用EDA技术 (电子设计自动化技术) 在计算机上进行设计、验证、仿真, 大大激发学生的学习兴趣, 提高教学质量。

2 EDA技术

所谓EDA技术, 就是一种以计算机作为工作平台, 以EDA软件工具为开发环境, 以硬件描述语言为设计语言, 以可编程逻辑器件为实验载体, 以ASIC、SOC芯片为目标器件, 以数字系统设计为应用方向的电子产品自动化设计技术。它在设计入口方面能直接接受符合人们思维习惯的高级硬件描述语言 (如VHDL) 和原来人们已经习惯的逻辑图输入。并通过专用EDA系统工具软件 (MaxPLUSII等) 将其转换成能被可编程逻辑器件 (CPLD/FPGA) 接受的数据格式文件, 在对该数据文件所构成的系统模型进行功能仿真后, 再将此数据下载到一种支持该技术的超大规模可编程逻辑器件上, 使其真正成为一个具有设计要求的专用超大规模集成电路芯片。其中VHDL已成为通用硬件描述语言, 具有极强的描述能力, 能支持系统行为级、寄存器传输级和门级3个不同层次的设计。与传统的课程教学相比, 将EDA技术引入课程教学具有如下的优势。

(1) 在不增加总学时的基础上, 实现EDA仿真技术与数字电子技术两课程的有机结合, 充分发挥利用EDA技术, 加强了学生综合设计能力的培养。

(2) 降低实验成本, 减少了日常元器件 (中小规模集成芯片) 的消耗。

(3) 提高实验成功率。对于较为复杂的实验, 采用面包板和导线搭接进行调试的传统方法, 故障较多, 学生在规定时间内难以调试成功, 以后也没以机会再做。而采用EDA技术进行实验, 学生一般在规定时间内就能完成实验。如果不能完成, 也可以利用业余时间来完成实验, 使学生完成实验的成功率得到提高。

(4) 提高实验教学质量。传统的数字逻辑实验由于器件和设备等多种原因, 通常实验学生采用相同的设计方案, 缺乏对学生能力培养和创新意识培养。由于EDA实验采用计算机仿真, 每个学生都可轻松调整自己的实验设计方案, 充分发挥自己的想象力和创造力来实现自己设计的实验。

3 EDA技术在数字电子技术课程中的应用

由于在学习数字电子技术课程时, 学生还没有硬件描述语言的基础知识, 故以Max+PLUSII软件的原理图输入法为例介绍EDA技术在数字电子技术教学中的应用。

在实际的教学中, 对一些学生不容易理解的内容进行课堂EDA仿真, 将实验室带进了课堂, 帮助学生理解那些描述抽象的知识。例如, 数字电子技术课程在讲解常用中规模器件74160用 “异步清零法” 和“同步置数法”构成任意进制计数器原理时, 学生也很难理解“同步”和“异步”的区别。同时学生也很难理解在“异步清零法”中 用“存在暂态”来描述清零过程。这样的描述都比较抽象, 学生难以理解和掌握。为了便于理解, 在课堂上采用的教学方法, 是在传统教授法的基础上配以EDA仿真。

首先, 利用Max+PLUSII软件的原理图输入功能编辑出用“异步清零法”和“同步置数法”构成六进制计数器的图形文件, 如图1、图3所示。Co是进位输出端, q是以总线形式输出的状态输出端。在图形文件编译、存盘后, 给出了电路图的仿真波形, 如图2、图4所示。

从图2、图4的仿真图可以看出以下几条规律:

(1) 用“异步清零法”构成的六进制计数器实际上有‘000’、 ‘001’、‘010’、‘011’、‘100’、‘101’这六个状态, 存在暂态, 在稳定状态图的时候可以忽略不计暂态, 整个的时序图中只有六个稳定状态, 所以是六进制计数器。同时该电路图的进位输出端是暂态产生的, 进位输出端的持续时间也是0.1ns, 因此在级联的时候不能作为下一级计数器的进位信号。

(2) 用“同步置数法”构成的六进制计数器实际也上有‘000’、 ‘001’、‘010’、‘011’、‘100’、‘101’这六个状态, 不存在暂态。在稳定状态图只有六个稳定状态, 所以也是六进制计数器。同时该电路图的进位输出端是有同步信号产生的, 进位输出端的持续时间是一个时钟脉冲, 持续时间较长, 进位输出端可以作为下一级计数器的进位信号。

(3) 用“异步清零法”构成的六进制计数器中, ‘110’这个状态持续时间很短, 但到底是多长时间, 一般用“极短的瞬间”来形容这一过程。为了计算出这一时间, 给出比例扩大了的异步清零法设计的六进制计数器的彷真图, 如图5所示, 从该图上可以清楚地看到‘101’状态后存在暂态, 而且持续的时间只有0.1ns (计算过程是根据图5所示, 即:550.2ns-550.1ns=0.1ns) 。

同时, 根据EDA软件仿真的方法还会发现“异步清零法”比起“同步置数法”更容易发生“竞争冒险”现象, 主要是因为“异步清零法”的触发条件是有门电路触发异步清零端;而“同步置数法”的触发条件不仅要有门电路触发, 同时要有时钟脉冲的配合。这些都可以在EDA仿真的时候得到以上结论。

总之, 利用EDA软件仿真可以将数字电路中那些看不见摸不着的、比较抽象的理论用科学、直观的方式展示出来, 不但可以协助老师更好地解释和阐明书本中的一些疑难问题, 也有利于学生直观深入理解书本中的某一问题或现象, 有效地改进课堂教学方法, 提高课堂教学效果。

4 结语

在高等学校, 传统的教学模式已不能满足对人才培养的需要, 教学内容, 教学方法的改革势在必行。利用EDA软件可以将数字电路中那些看不见摸不着的、抽象的理论用科学、直观的方式展示出来, 深入浅出地分析各种电路的特性, 教学与实验验证同步进行, 加深了学生对理论的理解, 激发了学生的学习兴趣, 扩展了学生的思维空间, 同时也为后续的可编程逻辑器件 (PLD) 的学习埋下了伏笔, 取得了非常好的教学效果。

摘要:通过实例说明EDA技术在数字电子技术课堂教学中的优点。用Max+PLUSⅡ的原理图输入法和时序仿真的功能比较了集成电路组成计数器中“异步清零法”和“同步置数法”的不同之处, 使理论与仿真验证相结合, 表明了借助EDA技术可以帮助学生加深理解该课程的主要内容, 从而提高教学效果。

关键词:数字电子技术,EDA,Max+PLUSII,原理图输入法

参考文献

[1]莫太平, 蒋艳红.EDA技术在数字逻辑课程触发器教学中的应用[J].桂林电子科技大学学报, 2007.

浅析电子设计中EDA技术的应用 篇8

【关键词】电子设计;EDA技术;技术应用

引言

电子技术在信息化时代得到了高速发展,各类电子产品成为了人们生活中不可或缺的一部分,随着电子产品附带的功能逐渐增多以及性能方面的拓展,人们对电子技术提出了更高的要求。集成电路制造技术和电子设计是推动电子产品发展的主要动力,其中电子设计更是以前沿尖端的EDA技术为核心,在电子技术不断取得突破的今天,CPLD、FPGA可编程逻辑器件也越来越多的应用于电子设计,为电子设计带来了广阔发展空间和适应各项需求的灵活性。

1.EDA技术的特点与应用

(1)FPGA/CPLD的编程方式较易实现无线编程、红外编程、超声编程,或通过电话线远程在线编程,并且具有良好的加密功能。

(2)不存在MCU所特有的复位不可靠和PC跑飞等固有缺陷,还可将整个系统下载于同一芯片中,缩小了体积,易于管理与屏蔽,从而具有高可靠性。

(3)对于复杂多变的通信协议来说,利用VHDL进行FPGA编程高效、灵活,并且能够快速适应标准的升级,实际上FPGA的大容量、高速、高性能的发展趋势正是为了迎合通信领域应用的需要。

(4)器件的功能块可以同时工作,能够实现指令级、比特级、流水线级甚至是任务级的并行执行,加快了运算速度,由FPGA实现的运算系统可以达到现有通用处理器的数百甚至上千倍。将EDA技术应用于电子系统设计,能减小设备体积,降低功耗,提高电路的可靠性,减少上市时间,将设计风险降至最小,是数字系统设计的发展方向。在数字信号处理领域,传统的设计方法有2种:

a.采用DSP处理器,如TMS320系列微处理器;b.采用固定功能的DSP器件或ASIC器件。随着DSP系统复杂程度和功能要求的提高,这些DSP解决方案暴露出缺陷:DSP处理器方案成本低,但软件处理数据不可能有很强的实时性能,限制了在高速和实时系统中的应用;固定功能的DSP器件或ASIC器件可以提供很好的实时性能,但灵活性太差。相对DSP处理器,FPGA可以由设计者根据算法的内在并行结构设计合适的处理阵列,避免前者串行执行指令的低效;相对ASIC,FPGA可避免初期巨大的开发投资,并且拥有如微处理器的通用性和灵活性。加之FPGA内部大都提供了RAM、双口RAM和FIFO-RAM等存储体结构,所以FPGA可以完全取代通用DSP芯片或作为通用DSP芯片的协处理器进行工作。如果将通用DSP和FPGA融合在一起,把需要多个时钟周期的运算交给FPGA完成,DSP芯片主要完成单时钟的运算并控制FPGA的“可再配置计算”功能,可更好地把二者的优势发挥出来。

2.电子设计中EDA技术应用需注意的问题

在电子设计中应用EDA技术需要注意以下几点:

①在电子电路设计的时候,延时时间具有不确定性的特征,以及自动编译的部分电路可能会成为赘余,所以电子设计中采用EDA时,反向器的个数不易为偶数并联连接;②输入引脚要保持接地,不能处于悬空的状态,驱动的时候要保证是有源信号;③各个器件的电源要保持接地状态,需要的时候要对各个连接进行滤波和解耦处理;④设计的过程中,逻辑单元和引脚都要留出多余的部分,便于后期的扩展设计或者是设计修改;⑤需要采取一定的冷却处理,避免各个器件使用的时候过热。

3.EDA技术设计流程解析

3.1 源程序的编辑、编译及行为仿真

一项工程的设计首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式(VHDL程序方式)或图形方式(流程图方式和状态图方式)表示出来。这两种表达方式必须首先通过EDA工具进行排错编译,变成VHDL文件格式,为进一步的逻辑综合作准备。在逻辑综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL设计源程序直接送到VHDL仿真器中仿真。

3.2 目标器件

逻辑透配就是将由综合器产生的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件。随后,可进行时序仿真。时序仿真是将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果。如果编译、综合、布线/适配和行为仿真、功能仿真、时序仿真等过程都没有发现问题,即满足原设计的要求,就可以将由CPLD/FPGA布线/适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片CPLD或FPGA中。

3.3 硬件仿真与测试

在电子设计当中,经常会通过FPGA来完成对电子系统设计的功能检测,检测完成之后通過VHDL进行设计,最后呈现结果。这是硬件的仿真过程。而硬件的测试过程是指针对于CPLD以及FPGA直接应用到设计的过程当中,将文件下载之后,对电子设计过程进行功能检测。在对EDA技术进行的功能及时序仿真阶段,如果在仿真过程中没有发现任何问题,就可以将生成的文件下载到目标芯片当中。在这个过程中,应当注意以下几个重要事项:

①不可以采取反相器串联法来构成“延时电路”。

②在输入引脚时不可以悬空,必须通过有源信号进行驱动,将不使用的引脚进行接地。

③器件电源和接地的地线引脚应当要可靠连接。

④为了方便EDA技术应用的扩展和设计,在对要使用的器件进行选择时,要使得逻辑单元以及引脚要有一定的数量余量。

⑤要注意把握好环境的变化,防止对器件造成过热引起故障。

4.8255A芯片设计中EDA技术的应用分析

4.1 8255A端口及构造体说明

该设计模块中PPI端口一共定义了40个引脚,定义与8255A是相同的。端口的构造体许多都是输入输出的双向引脚,其端口是相互对应的。在芯片端口的构造体内部,都是通过bus-in和bus-out总线来实现。

4.2 构造体进程说明

PPI的构造体包括5个进程,主要是读进程、写进程以及形成pa、pb、pc三态输出进程。其中pa、pb和pc进程比较简单,不需要做详细说明,在这里主要分析读、写两个进程。

(1)读进程工作就是指在片选信号和读信号都有效时,从各个端口对外部设备提供的信息数据进行读入。此外读进程对数据线总线的信息数据进行描述并且通过三态缓冲器进行实现。

(2)写进程工作就是在片选信号和写信号有效时,将总线上的数据信息写入到bus-out总线上,与此同时,将总线上的最高数据位进行寄存器保存,便于以后对使用方式的判别。因为在写进程中,VHDL语言编程方法与读进程中的十分相似,再加上源程序比较长,所以本文没有给出详细的源程序。

5.结语

可以说EDA技术的应用为电子设计行业带来了一次技术上的革新,这就要求电子设计工程师要熟练掌握好EDA技术,在提高效率的同时,开发出更多具有高性能的电子产品。使得EDA技术更好地适应社会发展,增强自身竞争实力,并推动电子系统不断向集成化、大规模化的方向快速发展。

参考文献

[1]卢紫毅,肖梓祥.对可编程ASIC发展新趋势的探讨[J].现代电子技术,2001(3):11-13.

数字电子技术eda 篇9

扬柳林

陈军灵

(广西大学电气工程学院,广西,南宁,530004)

摘要:文章对MultiSim仿真软件进行了介绍,探讨了其在电工电子技术实验教学中的应用,利用该虚拟电子实验台辅助实验教学,可以克服传统实验中的一些不足,使实验教学更加方便、灵活、直观,能取得更好的教学效果。关键词:电子设计自动化(EDA);虚拟电子实验台;MultiSim;仿真 中图分类号:G642.423 0 引言

在科学技术日新月异的背景下,随着教育改革的深入,如何实现教育技术现代化、教学 手段现代化已经成为我国教育改革所面临的一个重要课题。目前,在电工电子技术实验教学方面,国内多数高校仍主要采用实物元器件进行硬件连线测试,大多数采用面包板或者各种现成的实验箱。这种传统的实验方式由于受实验室条件的限制,在给学生开设一些扩展型、设计型以及综合型实验时将会遇到困难,特别是新器件,新设备价格昂贵,一般院校的电子学实验室更是无法承受。

随着电子设计自动化(EDA)技术的发展,开创了利用“虚拟仪器”、“虚拟器件”在计算机上进行电子电路设计和实验的新方法。目前,在这类仿真软件中,“虚拟电子实验台”——MultiSim较为优秀,其应用逐步得到推广。这种新型的虚拟电子实验技术,在创建实验电路时,元器件和测试仪器均可以直接从屏幕图形中选取,而且软件中的测试仪器的图形与实物外形基本相似。利用MultiSim仿真软件进行电工电子技术实验教学,不仅可以弥补实验仪器、元器件短缺以及规格不符合要求等因素,还能利用软件中提供的各种分析方法,帮助学生更快、更好地掌握教学内容,加深对概念、原理的理解,并能熟悉常用的电工电子仪器的测量方法,进一步培养学生的综合能力和创新能力。虚拟电子实验台MultiSim简介

Multisim是加拿大Interactive Image Technologies公司出品的电路模拟软件,V5以前的 版本称为Electronics Workbench,从V6开始改为Multisim。在教育界比较流行的Multisim 2001版属于V6版本,目前Multisim的最新版本是V8。Multisim从V5到V6的功能有很大的扩充,特别是增加了VHDL和Verilog HDL模块,使它成为真正的“数模VHDL Verilog”的混合电路模拟软件。

Multisim的主要功能和特点:

 Multisim具有直观、方便的操作界面,创建电路、选用元器件和虚拟测试仪器等均 可直接从屏幕图形中选取,而且提供的虚拟测试仪器非常齐全,其外观与实物外形基本相似,操作这些虚拟设备如同操作真实的设备一样。

 Multisim极大地扩充了元件数据库,特别是大量新增的与现实元件对应的元件模 型,增强了仿真电路的实用性,同时还可以新建或扩充已经有的元件库,建库所需的原器件参数可以从生产厂商的产品使用手册中查到。

 Multisim具有较为完善的电路分析功能,可以完成电路的瞬态分析和稳定分析、时 域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析、离散傅里叶分析、电路零极点分析、交直流灵敏度分析等电路分析方法。此外,还可以对被仿真电路中的元件设置各种故障,以便观察到故障情况下的电路工作状态。用MultiSim进行虚拟实验的方法 2.1 构造和测试电路分为以下几个步骤:

⑴ 根据实验内容从元件库选择元件放到工作区;

⑵ 将工作区中的元件按照电路布局进行放置,用导线将元件连接起来,并设置好元件参数和模型;

⑶ 在电路中需要观测的节点放置、连接电压、电流表计和示波器、信号发生器等观测仪器;

⑷ 根据测试要求设定仪器参数,进行电路仿真、观测。2.2 电路仿真运行

电路创建完毕,点击“运行”开关后,就可以从示波器等测试仪器上读得电路中被测数据。整个仿真运行过程可分成以下几个步骤:

⑴ 数据输入:将已创建的电路图结构、元器件数据读入,选择分析方法;

⑵ 参数设置:检查输入数据的结构和性质,以及电路中的阐述内容,对参数进行设置;

⑶ 电路分析:对输入信号进行分析,形成电路的数据值解,并将所得数据送至输出级;

⑷ 数据输出:从测试仪器如示波器或万用表等上获得仿真运行的结果。也可以从“分析”栏中的“分析显示图”看到测量、分析的波形图。MultiSim在电工电子实验教学中的应用举例

3.1 RLC串联电路的响应与状态轨迹观测(电工电路仿真实验)

二阶RLC串联电路在电工电路中较为常见,但用传统的方法讲授、观测该电路的响应 过程是比较抽象、复杂的,而使用Multisim对其过渡过程进行仿真分析,就可以很方便地研究其过阻尼、临界阻尼和欠阻尼三种状态下的响应曲线和状态轨迹。

如图1所示,在Multisim工作区搭建实验电路,并设置好相关参数。图中函数发生器 输出方波信号,f600Hz。用示波器观测电容两端电压,通过键盘上的“a”键,可以实时改变可调电阻R1值,从而得到三种不同状态的响应曲线,如图2所示。

图1

(a)临界阻尼

图2

(b)欠阻尼

二阶RLC串联电路三种状态的响应曲线

(c)过阻尼

为了观测该电路的状态轨迹,需按图3搭建实验电路。图中,函数发生器输出方波信号,f600Hz;示波器置于双踪工作方式,将电容两端电压送入示波器的A端子,电感电流送入示波器的B端子,则从屏幕上就可以显示出其状态轨迹,原理与显示李萨育图形一样。为获得电感电流,加接了取样电阻R3,将电流量转变为成正比的电压量。由于电阻R3的引进,电容电压值比实际值偏大,但由于电容的阻抗ZCR3,所以电阻R3带来的影响可以忽略不计。改变可调电阻R2值,便可观察振荡与非振荡情况下的状态轨迹,如图4所示。

图3

(c)过阻尼

(b)欠阻尼(a)临界阻尼

图4

二阶RLC串联电路三种状态的状态轨迹

3.2 晶体管输出特性曲线测试(电子电路仿真实验)晶体管输出特性曲线是描述晶体管各极电流与各极电压关系的曲线,对于了解晶体管性能和晶体管电路分析是非常有用的。传统的晶体管输出特性曲线测试实验,比较繁琐,现利用MultiSim强大的仿真分析、数据后期处理功能,则可以方便、快捷地测绘出晶体管输出特性曲线。

如图5所示,在MultiSim工作区中创建测试电路。点击Simulate菜单中的Analyses下的DC Sweep Analyses功能,出现图6所示对话框,按图中参数进行设置,并将vv1# branch作为output variables。设置完毕,点击对话框上的Simulate,得到图

8所示晶体管输出特性曲线。但该曲线与习

图晶体管测试电路图

惯表示方法不同,纵坐标数据为负数,因此,再利用Multisim的后处理功能(Postprocess),将测试曲线进行简单的数学运算,即输出数据取反,便可得到习惯表示法。具体参数设置如图7对话框所示。重画后的晶体管输出特性曲线如图9所示。

图6

DC Sweep Analyses对话框设置

图7

Postprocess对话框设置

图9

晶体管输出特性曲线 图8

晶体管测试曲线 结论

从以上列举的仿真试验中,可以看出,用MultiSim进行电工电子虚拟实验非常方便,现象直观,结果精确。这对电工电子技术实验教学是一种很好的辅助手段。并且,还为学生进行综合性、创造性实验提供了一个广阔空间。随着MultiSim应用的推广和深入,其必将在电子工程、信息工程、电气工程、自动控制等领域的辅助教学中发挥重要作用。

参考文献:

[1] Interactive Image Technology Ltd,Multisim V7 User Guide [M],Canada,2003.

[2] 郑步生,吴渭,Multisim2001电路设计及仿真入门与应用[M],北京:电子工业出版社,2002.

[3] 康光华,电子技术基础(模拟部分),北京:高等教育出版社.

Multisim是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT公司)推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。multisim 9概述

Multisim 被美国NI公司收购以后,其性能得到了极大的提升。最大的改变就是:Multisim 9与LABVIEB 8的完美结合:

新特点:(1)可以根据自己的需求制造出真正属于自己的仪器;

(2)所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;

(3)所有硬件电路产生的结果都可以输回到计算机中进行处理和分析。

Multisim 9组成:

1. ―――构建仿真电路

2. ―――仿真电路环境

3. multi mcu------单片机仿真

4. ――FPGA、PLD,CPLD等仿真

5. ――FPGA、PLD,CPLD等仿真

6. ―― 通信系统分析与设计的模块

7. ―― PCB设计模块:直观、层板32层、快速自动布线、强制向量和密度直方图

8. -(自动布线模块)

仿真的内容:

1. 器件建模及仿真;

2. 电路的构建及仿真;

3. 系统的组成及仿真;

4. 仪表仪器原理及制造仿真。

器件建模及仿真:可以建模及仿真的器件:

模拟器件(二极管,三极管,功率管等);

数字器件(74系列,COMS系列,PLD,CPLD等);

FPGA器件。

电路的构建及仿真:单元电路、功能电路、单片机硬件电路的构建及相应软件调试的仿真。

系统的组成及仿真:Commsim 是一个理想的通信系统的教学软件。它很适用于如„信号与系统‟、„通信‟、„网络‟等课程,难度适合从一般介绍到高级。使学生学的更快并且掌握的更多。

Commsim含有200多个通用通信和数学模块,包含工业中的大部分编码器,调制器,滤波器,信号源,信道等,Commsim 中的模块和通常通信技术中的很一致,这可以确保你的学生学会当今所有最重要的通信技术。

要观察仿真的结果,你可以有多种选择:时域,频域,XY图,对数坐标,比特误码率,眼图和功率谱。

仪表仪器的原理及制造仿真:可以任意制造出属于自己的虚拟仪器、仪表,并在计算机仿真环境和实际环境中进行使用。

PCB的设计及制作:产品级版图的设计及制作。

美国NI公司提出的理念:

“把实验室装进PC机中”

“软件就是仪器

[编辑本段]multisim 10概述

●通过直观的电路图捕捉环境, 轻松设计电路

●通过交互式SPICE仿真, 迅速了解电路行为

●借助高级电路分析, 理解基本设计特征

●通过一个工具链, 无缝地集成电路设计和虚拟测试

●通过改进、整合设计流程, 减少建模错误并缩短上市时间

NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

电子通信类其它常用的仿真软件:

System view---数字通信系统的仿真

Proteus――单片机及ARM仿真

LabVIEW――虚拟仪器原理及仿真

Multisim 2001 使用简介

Multisim是Interactive Image Technologies(Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例。

第一节 Multisim概貌

软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。

一、Multisim的主窗口界面。

启动Multisim 2001后,将出现如图1所示的界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。

二、菜单栏

菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。

不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1.File File菜单中包含了对文件和项目的基本操作以及打印等命令。命令 功能

New

建立新文件

Open

打开文件

Close

关闭当前文件

Save

保存

Save As

另存为

New Project

建立新项目

Open Project

打开项目

Save Project

保存当前项目

Close Project

关闭项目

Version Control

版本管理

Print Circuit

打印电路

Print Report

打印报表

Print Instrument

打印仪表

Recent Files

最近编辑过的文件

Recent Project

最近编辑过的项目

Exit

退出Multisim

2.Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。命令 功能

Undo

撤消编辑

Cut

剪切

Copy

复制

Paste

粘贴

Delete

删除

Select All

全选

Flip Horizontal

将所选的元件左右翻转

Flip Vertical

将所选的元件上下翻转

ClockWise

将所选的元件顺时针90度旋转

ClockWiseCW

将所选的元件逆时针90度旋转

Component Properties 元器件属性 3.View 通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。命令 功能

Toolbars

显示工具栏

Component Bars

显示元器件栏

Status Bars

显示状态栏

Show Simulation Error Log/Audit Trail

显示仿真错误记录信息窗口

Show XSpice Command Line Interface

显示Xspice命令窗口

Show Grapher

显示波形窗口

Show Simulate Switch

显示仿真开关

Show Grid

显示栅格

Show Page Bounds

显示页边界

Show Title Block and Border

显示标题栏和图框

Zoom In

放大显示

Zoom Out

缩小显示

Find 查找

4.Place 通过Place命令输入电路图。命令 功能

Place Component

放置元器件

Place Junction

放置连接点

Place Bus

放置总线

Place Input/Output

放置输入/出接口

Place Hierarchical Block

放置层次模块

Place Text

放置文字

Place Text Description Box

打开电路图描述窗口,编辑电路图描述文字

Replace Component

重新选择元器件替代当前选中的元器件

Place as Subcircuit

放置子电路

Replace by Subcircuit 重新选择子电路替代当前选中的子电路 5.Simulate 通过Simulate菜单执行仿真分析命令。命令 功能

Run

执行仿真

Pause

暂停仿真

Default Instrument Settings

设置仪表的预置值

Digital Simulation Settings

设定数字仿真参数

Instruments

选用仪表(也可通过工具栏选择)

Analyses

选用各项分析功能

Postprocess

启用后处理

VHDL Simulation

进行VHDL仿真

Auto Fault Option

自动设置故障选项

Global Component Tolerances 设置所有器件的误差 6.Transfer菜单

Transfer菜单提供的命令可以完成Multisim对其它EDA软件需要的文件格式的输出。命令 功能

Transfer to Ultiboard

将所设计的电路图转换为Ultiboard(Multisim中的电路板设计软件)的文件格式

Transfer to other PCB Layout

将所设计的电路图以其他电路板设计软件所支持的文件格式

Backannotate From Ultiboard

将在Ultiboard中所作的修改标记到正在编辑的电路中

Export Simulation Results to MathCAD

将仿真结果输出到MathCAD

Export Simulation Results to Excel

将仿真结果输出到Excel

Export Netlist 输出电路网表文件 7.Tools Tools菜单主要针对元器件的编辑与管理的命令。命令 功能

Create Components

新建元器件

Edit Components

编辑元器件

Copy Components

复制元器件

Delete Component

删除元器件

Database Management

启动元器件数据库管理器,进行数据库的编辑管理工作

Update Component 更新元器件 8.Options 通过Option菜单可以对软件的运行环境进行定制和设置。命令 功能

Preference

设置操作环境

Modify Title Block

编辑标题栏

Simplified Version

设置简化版本

Global Restrictions

设定软件整体环境参数

Circuit Restrictions 设定编辑电路的环境参数 9.Help Help菜单提供了对Multisim的在线帮助和辅助说明。命令 功能

Multisim Help

Multisim的在线帮助

Multisim Reference

Multisim的参考文献

Release Note

Multisim的发行申明

About Multisim

Multisim的版本说明

三、工具栏

Multisim 2001提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。

顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏,Simulation工具栏。

1.Standard工具栏包含了常见的文件操作和编辑操作,如下图所示:

2.Design工具栏作为设计工具栏是Multisim的核心工具栏,通过对该工作栏按钮的操作可以完成对电路从设计到分析的全部工作,其中的按钮可以直接开关下层的工具栏:Component中的Multisim Master工具栏,Instrument工具栏。

(1)作为元器件(Component)工具栏中的一项,可以在Design工具栏中通过按钮来开关Multisim Master工具栏。该工具栏有14个按钮,每个每一个按钮都对应一类元器件,其分类方式和Multisim元器件数据库中的分类相对应,通过按钮上图标就可大致清楚该类元器件的类型。具体的内容可以从Multisim的在线文档中获取。

这个工具栏作为元器件的顶层工具栏,每一个按钮又可以开关下层的工具栏,下层工具栏是对该类元器件更细致的分类工具栏。以第一个按钮 为例。通过这个按钮可以开关电源和信号源类的Sources工具栏如下图所示:

(2)Instruments工具栏集中了Multisim为用户提供的所有虚拟仪器仪表,用户可以通过按钮选择自己需要的仪器对电路进行观测。

3.用户可以通过Zoom工具栏方便地调整所编辑电路的视图大小。

4.Simulation工具栏可以控制电路仿真的开始、结束和暂停。

第二节 Multisim对元器件的管理

EDA软件所能提供的元器件的多少以及元器件模型的准确性都直接决定了该EDA软件的质量和易用性。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。

Multisim以库的形式管理元器件,通过菜单Tools/ Database Management打开Database Management(数据库管理)窗口(如下图所示),对元器件库进行管理。

在Database Management窗口中的Daltabase列表中有两个数据库:Multisim Master和User。其中Multisim Master库中存放的是软件为用户提供的元器件,User是为用户自建元器件准备的数据库。用户对Multisim Master数据库中的元器件和表示方式没有编辑权。当选中Multisim Master时,窗口中对库的编辑按钮全部失效而变成灰色,如下图所示。但用户可以通过这个对话窗口中的Button in Toolbar显示框,查找库中不同类别器件在工具栏中的表示方法。

据此用户可以通过选择User数据库,进而对自建元器件进行编辑管理。

在Multisim Master中有实际元器件和虚拟元器件,它们之间根本差别在于:一种是与实际元器件的型号、参数值以及封装都相对应的元器件,在设计中选用此类器件,不仅可以使设计仿真与实际情况有良好的对应性,还可以直接将设计导出到Ultiboard中进行PCB的设计。另一种器件的参数值是该类器件的典型值,不与实际器件对应,用户可以根据需要改变器件模型的参数值,只能用于仿真,这类器件称为虚拟器件。它们在工具栏和对话窗口中的表示方法也不同。在元器件工具栏中,虽然代表虚拟器件的按钮的图标与该类实际器件的图标形状相同,但虚拟器件的按钮有底色,而实际器件没有,如下图所示。

从图中可以看到,相同类型的实际元器件和虚拟元器件的按钮并排排列,并非所有的是元器件都设有虚拟类的器件。

在元器件类型列标中,虚拟元器件类的后缀标有Virtual,如下图所示:

第三节 输入并编辑电路

输入电路图是分析和设计工作的第一步,用户从元器件库中选择需要的元器件放置在电路图中并连接起来,为分析和仿真做准备。

一、设置Multisim的通用环境变量

为了适应不同的需求和用户习惯,用户可以用菜单Option/Preferences打开Preferences对话窗口,如下图所示。

通过该窗口的6个标签选项,用户可以就编辑界面颜色、电路尺寸、缩放比例、自动存储时间等内容作相应的设置。

以标签Workspace为例,当选中该标签时,Preferences对话框如下图所示:

在这个对话窗口中有3个分项:

1.Show:可以设置是否显示网格,页边界以及标题框。

2.Sheet size:设置电路图页面大小。

3.Zoom level:设置缩放比例。

其余的标签选项在此不再详述。

二、取用元器件

取用元器件的方法有两种:从工具栏取用或从菜单取用。下面将以74LS00为例说明两种方法。

1.从工具栏取用:Design工具栏®Multisim Master工具栏®TTL工具栏®74LS按钮

从TTL工具栏中选择74LS按钮打开这类器件的Component Browser窗口,如下图所示。其中包含的字段有Database name(元器件数据库),Component Family(元器件类型列表),Component Name List(元器件名细表),Manufacture Names(生产厂家),Model Level-ID(模型层次)等内容。

2.从菜单取用:通过Place/ Place Component命令打开Component Browser窗口。该窗口与上图一样。

3.选中相应的元器件

在Component Family Name中选择74LS系列,在Component Name List中选择74LS00。单击OK按钮就可以选中74LS00,出现如下备选窗口。7400是四/二输入与非门,在窗口种的Section A/B/C/D分别代表其中的一个与非门,用鼠标选中其中的一个放置在电路图编辑窗口中,如左图所示。器件在电路图中显示的图形符号,用户可以在上面的Component Browser中的Symbol选项框中预览到。当器件放置到电路编辑窗口中后,用户就可以进行移动、复制、粘贴等编辑工作了,在此不再详述。

三、将元器件连接成电路

在将电路需要的元器件放置在电路编辑窗口后,用鼠标就可以方便地将器件连接起来。方法是:用鼠标单击连线的起点并拖动鼠标至连线的终点。在Multisim中连线的起点和终点不能悬空。

第四节 虚拟仪器及其使用

对电路进行仿真运行,通过对运行结果的分析,判断设计是否正确合理,是EDA软件的一项主要功能。为此,Multisim为用户提供了类型丰富的虚拟仪器,可以从Design工具栏®Instruments工具栏,或用菜单命令(Simulation/ instrument)选用这11种仪表,如下图所示。在选用后,各种虚拟仪表都以面板的方式显示在电路中。

下面将11种虚拟仪器的名称及表示方法总结如下表:

菜单上的表示方法

对应按钮

仪器名称

电路中的仪器符号

Multimeter

万用表

Function Generator

波形发生器

Wattermeter

瓦特表

Oscilloscape

示波器

Bode Plotter

波特图图示仪

Word Generator

字元发生器

Logic Analyzer

逻辑分析仪

Logic Converter

逻辑转换仪

Distortion Analyzer

失真度分析仪

Spectrum Analyzer

频谱仪

Network Analyzer

网络分析仪

注1:该软件中用 ‟ 代替 — 表示反变量,例如。

注2:该软件没有异或符号,处理方式是将异或运算写成。

在电路中选用了相应的虚拟仪器后,将需要观测的电路点与虚拟仪器面板上的观测口相连(如下图),可以用虚拟示波器同时观测电路中两点的波形。

双击虚拟仪器就会出现仪器面板,面板为用户提供观测窗口和参数设定按钮。以上图为例,双击图中的示波器,就会出现示波器的面板。通过Simulation工具栏启动电路仿真,示波器面板的窗口中就会出现被观测点的波形,如下图所示。

第五节 电路实例

这节将以3个电路实例说明Multisim在电路设计和分析中的使用方法。Multisim的基础是正向仿真,为用户提供了一个软件平台,允许用户在进行硬件实现以前,对电路进行观测和分析。

例1.构造同步16进制计数器,并用7段数码管进行观测(文件名:counter.msm)。通过运行仿真验证电路功能。在这个电路的基础上将计数器改为10进制,并通过仿真验证修改结果是否正确(注:显示0~9)。

首先选用T触发器和带译码的7段数码管和与门一起构成4位16进制计数器如下图。在电路中选用1Hz矩形波发生器,通过仿真观测运行的情况。

使用异步置零法,在图中加入反馈电路,当触发器的状态变为1010时通过Reset端对触发器进行清零。电路设计结果如下图。通过仿真可以观测到电路已经成为10进制计数器(文件名:counterb.msm)。

例2.分析已经给出的阶梯波发生器。电路如下图(文件名:Stepwave.msm)。通过运行仿真观测电路的功能,通过改变信号源的参数来改变阶梯波的频率,同时用示波器进行观测。

从图中可以看到,电路大致分为两个部分,上部分为4个T触发器和相应门电路构成的16进制计数器,下部分为D/A转换器。电路的信号源为矩形波发生器,通过示波器观测到的波形如下图。

[编辑本段]Multisim10安装

1.下载软件可以到官方下载完全试用版

2.ftp://ftp.ni.com/evaluation/EWB/NI_Circuit_Design_Suite_10_0.exe 3.输入安装序列号,完成安装。4.导入许可文件,完成软件安装 a。安装Multisim。

上一篇:在全市乡镇卫生院院长座谈会上的讲话下一篇:女强人都有这8个特点,你具备几个?