处理器设计

2024-09-19

处理器设计(共12篇)

处理器设计 篇1

在计算机发展的整个70年期间,曾经出现了各种各样的分立和嵌入式处理器种群,,它们已经进化,并且有些种群已经逐渐消失。从这一进化中产生了许多新奇的设计。。有些新奇的概念继续生存,有些几乎立即消亡,而一些概念仅仅存活了短暂的时间就销声匿迹了,但是,继承他们基因的技术在后续的种群中再次出现。

本文将调查13种不成功的处理器种群。。然而,随着技术使得许多老的概念焕发新生、重塑辉煌并再次成为新概念而获得无止境的重复利用,也许不知什么时候,勇猛的探索者/设计师将接下来遇见这些处理器种群当中的哪一个呢?这些种群可能被当成是恐龙:许多基于这些概念的处理器曾经就是它们那个时代的优势种群,或者,已经曾经大放光芒并极其繁荣而引起了大量的关注。。正是因为不断进化的世界造成了我们的处理器种群的必然演化甚至灭绝,但这并不意味着一个处理器种群在它存在的鼎盛时期不能合理地适应世界。

从最早的计算时代起,人们不断推动在抽象级解决编程问题,从接线板编程、拨动开关输入、机器语言输入、汇编语言到整个一大群“高级”编程语言(HLL),从上世纪50年代的Fortran和COBOL,乃至上、下半叶研究出来的几百或上千种编程语言。

HLL一被开发出来,人们就开始担心用于捕获编程问题答案的HLL描述与被在目标机上执行的由H L L编译器产生的实际指令之间的语义差异。每一种编译器常常产生不好的结果—有时候非常糟糕。即使现在,尽管编译器的开发经历了50多年,但是,对于许多算法来说,最高技能的人类汇编语言编码员所获得的编译结果的质量,要比由最佳的H L L编程器与最佳的可用最优化编译器所产生的代码高一个数量级(或一个数量级以上)。

计算机研究人员和商用计算机供应商不可避免地开始研究根据特殊的H L L或语言种群调节一种特殊处理器的可行性,以期把处理器的指令集与语言的要求更为紧密地匹配起来,并缩小语义差异。其理论就是以那些目标H L L编写的程序应该在这些经调整的机器上更为高效地执行。

一系列不合适的努力

为了实现这一方法—出现在从主机、微型机、分立微处理器IC到嵌入式处理器内核—的几十年经验以及努力,已经再三地确定这种方法是一个重大架构错误。的确,在Hennessy和Patterson关于计算机架构的开创性图书中可以发现这是典型的“谬误和缺陷”之一[HEN,p.142]。

这一方法存在的基本问题是多方面的:尽管已经被调整为一种语言,但是,处理器可能(而且非常可能)被用于运行于其它语言编写的程序。经调整的处理器在运行采用这些其它HLL编写的程序时效率比较低。

在较早时代,硬件资源很少被花费在极少被采用的指令的有效执行上—这是对昂贵的架构资本的一种劣质应用。

因一种HLL构造的一些非常特殊的应用,针对特定语言的指令可能终止执行,并且对于典型和最常见的应用是没有用的。因此,对这种指令的硬件本质上是一种浪费。

语言演化。基于固定、针对特殊HLL硬件的计算机架构较之于语言本身趋向于在非常长的时间内维持不变,因为软件比硬件更加易于变化。

针对特殊H L L的处理器的流行被目标HLL的普及无情地终结。在各种语言中的少数体验造成一种处理器具有最少的市场诉求。

因此,这种架构方法的缺陷花了很长时间才显现出来。从上世纪60年代至80年代中期,在RISC架构方法发源以前,基于复杂指令集计算机(CISC)、针对特殊HLL的计算机架构激起了人们巨大的兴趣。研究人员撰写了几百或上千的论文,关于这个课题的专题研讨会和座谈会相当流行,而各个公司根据这一设计哲学向市场推出各种真实的机器。

E-mode意味着缓慢的模式

Burroughs公司的"E-mode"机可能是被设计为支持特殊语言的最著名的机器系列。这个系列包括从上世纪60年代初至90年代的B5000/6000/7000和A-series机(一些兼容的处理器仍然在供货)。这些机器被设计为直接执行Algol 60。这种计算机家族还具有许多其它重要的功能,包括基于堆栈的架构、非平(non-flat)存储器的利用、无汇编语言、操作系统和专用的管理子系统采用与Algol60的直接对话编写、并且采用的是48比特的存储字(加上标签比特)。的确,在上世纪60年代和70年代期间,Burroughs几乎成为了针对特殊HLL的计算机设计方法的偶像。

在这个时期,这家公司生产了中等规模和小型的针对Cobol的主机(B2000/3000/4000),以及一种被用于B1700/1800机的有趣的微码架构,其中,包括一组可以被进出交换以匹配不同语言的解释指令集组。正如关于B5000的最热心评论所说,Burroughs“专注于采用较高级的编程注释以实际地排斥机器或汇编语言”[EAR]。

遗憾的是,Burroughs E-mode机因HLL机的若干缺点而受损。它们在标准科学和商务处理语言—F O R T R A N和C O B O L—上的表现肯定是缺乏活力的。后来,为这些机器构建C编译器以及把Unix引入它们的根本架构上的尝试被证明是困难的,因架构的分层存储结构没有小的部分。要尝试把针对特定HLL的指令集扩展至较低端的机器(包括由Burroughs的接任者Unisys在1989年推出的一种单芯片实现—称为单芯片A系列主机处理器(SCAMP)[UNI])需要大量的微码。遗憾的是,Algol 60从未真正以流行的编程语言起飞。这毫无疑问减少了Burroughs机的普及程度。

如上所述,Burroughs以面向B2000/3000/4000计算机的COBOL语言继续它的针对特殊HLL的设计哲学,它至少具有针对更为流行、锁定商务的HLL的有点。

许多语言,同样差的结果

针对特定HLL的处理器设计的吸引力,还导致人们开发直接运行用A P L[H A S]、Lisp[WHO]、Prolog[FAG]以及其它直接针对Basic、Fortran、Pascal、PL/I和Snobol[DIT80]编写的程序的机器。的确,针对特定HLL的计算机架构设计方法所存在的问题导致人们在1980年[DIT80]对它们进行了深刻的反思,只是在CISC工作站出现之前、以及后来在上世纪80年代中期RISC处理器和工作站出现之时。

从主机时代向着小型和微型计算机时代的迁移,见证了上述针对特定HLL的计算机架构设计方法以Burroughs B1700/1800获得重复使用,它为若干语言提供了微码指令集(COBOL、RPG以及其中的Fortran)[ORG77]和许多专用的工作站级机器。被设计来直接执行Lisp的机器就是一个特别著名的例子(Lisp机、Symbolics)。

分立微处理器时代也看到了若干针对特定HLL的微处理器架构,包括:被设计来运行Occam的Inmos Transputer;由贝尔实验室设计的用于直接执行C程序的CRISP处理器[DIT87a,DIT87b];在所有这类微处理器当中,也许最为著名(或声名狼藉)的就是英特尔公司的432,它被设计为运行以Ada语言编写的程序[GEH]。Transputer及其Occam描述了一种针对特定HLL的处理器的功能之一,有时候,它的开发者对于特殊的计算理论以信奉宗教般或准宗教般的热爱投入,从而以奴性的方式证明它自己对于一种编程语言的奉献,并尽力进行实质努力以开发一种支持它的机器。

尽管Transputer编译器后来形成为更加传统的H L L,但是,T r a n s p u t e r是以Occam推出的,这是一种基于Tony Hoare的计算序列处理概念。Transputer就是特定为O c c a m构建的。I n m o s的领导人Iann Barron是Occam的最高牧师。Transputer的历史描述了前面所列出的针对H L L的架构所存在的问题之一。它的成功高度依赖于找到一个对Occam有足够兴趣的市场,以购买为它而设计的处理器,或者对Transputer有足够的兴趣以采纳它作为与众不同的语言。这听起来很像一次宗教对话。

英特尔公司的432被设计为执行Ada,Ada在更为一般的意义上说是面向对象的语言。英特尔公司的4 3 2可能代表针对特定HLL处理器的极端情况,它对任何语言均无法实现足够的性能,包括用来设计它的Ada语言。实际上,英特尔公司的432微处理器整个冗长的故事一直遭受设计错误的折磨。在[GEH]中引证了一些设计错误,我们发现它们分别是:

·Ada编译器产生谬误的指令;

·Ada编译器并不执行通用的子表达式消除;

·编译器由数值/结果通过参数,即使对于大的阵列(而不是由参考值);

·编译器总是采用非常慢的模块间调用,即使当不必要时;

·指令以比特排列,因此,解码速度慢;

·从字面上看,不允许一个以上的指令流;

·机器的程序调用效率极低—超过1000个时钟周期,包括282个等待状态;相比之下,在那个时代的其它处理器采用不到100个时钟周期。

因此,英特尔的432执行通用的基准比Vax 11/780要慢10~26倍,而比8MHz 8086要慢2~23倍。对于英特尔来说,幸运的是,x86处理器以及用于IBM PC的接任者的演化取得了成功,从而让英特尔的432完全消失,它已经被当今大多数的计算从业者所遗忘。

Java:最新注定要失败的努力

针对特定处理器的最后劫掠一直就在当今的嵌入式时代,利用由Sun、ARM以及其它供应商设计的特殊硬件来执行Java(Sun公司的picoJava处理器以及ARM公司的Jazelle处理器等等)。这些针对Java的处理器鼓动起一些兴趣,但是,并未激发狂热。在当代的嵌入式世界中,设计工程师为了陈述Java应用,在传统的高性能处理器以及即时(JIT)编译上解释Java已经被证明是更加引人兴趣的路线。此外,在嵌入式处理器性能上的持续改善常常证明对于在嵌入式产品中的许多Java应用来说是相当足够的,这些应用主要是面向控制和用户界面。如果针对特定语言的处理器路线通过四个计算时代已经证明它自身就是最令人误导的方法的话,对于那些希望利用硬件以超越通用目的处理器的方式加速语言、以及用那些语言编写的应用程序的人来说,有什么其它的选项是不受限制的?

要抛弃的第一个概念一定是“一切关于语言”这个概念。的确,对于数据处理加强的应用来说,它更多的“一切关于”计算以及通信内核和嵌入在程序中的算法。如果一个应用程序涉及重复地执行大矢量的标量积,那么,对于不采用具有规模适当的硬件乘法器或者更好的乘法-累加器(MAC)单元的处理器来说,不论采用Fortran、Ada、C、Java、Basic或是COBOL编写的程序来执行这一应用,其速度均会很慢。如果对于所采用的语言来说,处理器具有合适功能的单元和良好HLL编译器(或解释器),那么,以这些语言当中的任何一种表达的算法应该执行得相当快速,不论采用什么语言。

正是算法的特征—而不是语言的特征—被用于设计、修改或选择正确的处理器。对于这一应用,你或者可以搜寻一种具有乘法器或MAC单元的处理器(和或零开销的循环)—DSP可能是良好的选择,或者—甚至更好的—你可以采用指令集扩展以裁剪一个可配置的处理器内核,使之更为精确地满足应用的性能和通信要求。在这种意义上说,搜寻一种针对特定HLL的计算机架构现在可以由搜寻一种面向特定应用的指令集处理器(ASIP)来取代。

错误1:设计高水平的计算机指令集架构以支持特殊的语言或语言域(Myopisaur)

处理器设计 篇2

摘要:该文主要介绍了SAMSUNG公司的基于ARM7TDMI核S3C4510B微处理器,并详细介绍了利用该处理器所设计的嵌入式系统以及VxWorks操作系统下BSP(BoardSupportedPackage)的开发。

关键词:ARM7TDMIS3C4510BVxWorksBSP

1介绍

S3C4510B是三星公司推出的针对嵌入式应用的16/32位嵌入式处理器,该微控制器专为以太网通信系统的集线器和路由器而设计,具有低成本和高性能的特点,S3C4510B中内置了ARM公司设计的16/32位ARM7TDMI处理器,可以执行32位的ARM指令,也可执行16位的THUMB指令,并集成了多种外围部件,主要有:

●时钟频率50MHz

●内核/IO电压3.3V

●8KB的Cache/SRAM

●一个10/100Mbps以太网控制器,MII接口

●两个HDLC通道,每个通道可支持10Mbps

●两个UART通道

●两个DMA通道

●两个32位定时/计数器

●18个可编程I/O口

●中断控制器,支持21个中断源,包括4个外部中断

●支持SDRAM,EDODRAM,SRAM,Flash等

●具有扩展外部总线

●JTAG接口,支持软件开发,硬件调试

S3C4510B支持目前常用的嵌入式操作系统,如VxWorks、pSoS、ucLinux等,本文将主要介绍VxWorks操作系统下的软件开发。

ARM7TDMI是ARM家族通用的一款32位微处理器,它主要为用户提供了高性能、低价格解决方案。

ARM7TDMI具有三级流水线的32位RISC处理器,处理器结构为冯・诺依曼Load/Store。该CPU具有两种指令集,即ARM和Thumb指令集。ARM指令集是32位,它可以利用CPU最大性能;而Thumb指令集则是16位指令集。

ARM7TDMI内核方块图如图1所示。

2系统硬件图

该系统主要以S3C4510B为核心,外围集成了以太网卡、SDRAM、FLASH、UART以及HDLC等。图2是以S3C4510B为核心的最小系统设计图。

SDRAM选用HY57V653220(8Mbyte)、两片FLASH分别为AM29F040(存放bootrom)和T28F160BT(作为文件系统用)。

3VxWorks操作系统下BSP构建

在完成板上基本硬件的测试后,下面我就开始对vxWorks操作系统下BSP进行开发,开发前需要做一些准备工作,如准备开发工具等。

①开发工具用的是Tornado2.2forARM;

②参考资料有BSPKit、S3C4510BDataSheet;

③参考Tornado2.2forARM下自带的wrSBCArm7BSP;

④烧写程序采用编程器。

通常在开发BSP的时候,我们需要在Tornado原带BSP目录下找一个与我们所用的处理器相同或相近。与BSP相关的文件有:romInit.s、sysAlib.s、bootInit.c、

bootConfig.c、sysLib.c、config.h、configNet.h、makefile以及与我们硬件相关的,如串口sysSerial.c等。由于篇幅所限,具体的文件作用在此就不说了。下面主要根据S3C4510B来阐述一下BSP开发步骤。

(1)拷贝BSP

将wrSBCArm7BSP拷贝一份并命名为4510BSP,接下来的工作就是修改该目录下的文件,从而得到自己的BSP。

(2)修改MakeFile文件

修改4510BSP目录下的makefile文件,修改如下几行:

TARGET_DIR=4510BSP#changedbycaiyang

VENDOR=CAI#changedbycaiyang

BOARD=MyArmBoard#changedbycaiyang

ROM_TEXT_ADRS=01000000#ROMentryaddress

ROM_WARM_ADRS=01000004#ROMwarmentryaddress

ROM_SIZE=00080000#numberofbytesofROMspace

RAM_LOW_ADRS=00006000#RAMtext/dataaddress(bootrom)

RAM_HIGH_ADRS=00486000#RAMtext/dataaddress(bootrom)

MACH_EXTRA=

注解:ROM_TEXT_ADRS:BOOTROM的入口地址。对大多数板来说,这就是ROM地址区的首地址,然而也有的硬件配置使用ROM起始的一部分地址区作为复位向量,因此需要根据此设置偏移量作为它的地址。这个偏移量因CPU结构而定。

ROM_WARM_ADRS:BOOTROM热启动入口地址。它通常位于固定的ROM_TEXT_ADRS+4的地方。当需要热启动时,sysLib.c文件中sysToMonitor()函数代码明确的跳转到ROM_WARM_ADRS地址处开始执行。

ROM_SIZE:ROM实际大小。

RAM_LOW_ADRS:装载Vxworks的地址。

RAM_HIGH_ADRS:将BootRomImage拷贝到RAM的`目的地址。

注意:RAM_LOW_ADRS和RAM_HIGH_ADRS都是绝对地址,通常位于DRAM起始地址的偏移量处,该偏移量取决于CPU结构,这需要参考VxWorks内存分布。对于ARM的内存分布请看图3,从图3可以看出RAM_LOW_ADRS在DRAM+0x1000处。这些地址对于S3C4510B来说都应该是重映射后的地址。

(3)修改config.h文件

主要是修改ROM_BASE_ADRS、ROM_TEXT_ADRS、ROM_SIZE、RAM_LOW_ADRS、RAM_HIGH_ADRS和undef掉不需要的部分。注意这些应该和makefile文件中设置的一致。

(4)修改romInit.s文件

CPU一上电就开始执行romInit()函数,因此在romInit.s代码段中它必须是第一个函数。对于热启动,处理器将会执行romInit()加上4后的代码(具体参考sysLib.c中的sysToMonitor()函数)。更多的硬件初始化在sysLib.c中sysHwInit()函数中,romInit()的工作就是做较少的初始化并把控制权交给romStart()(在bootInit.c文件)。

在S3C4510B处理器中,romInit.s文件主要做了以下几个工作:

①禁止CPU中断并切换到SVC32模式;

②禁止中断控制器;

③初始化SYSCFG、EXTDBWTH、ROMCON0、ROMCON1、DRAMCON0等寄存器,同时初始化了FLASH、SDRAM、DM9008等外围设备;

④将FLASH的内容拷贝到SDRAM中;

⑤改变FLASH和SDRAM的基地址,将SDRAM基地址改为0;

⑥初始化堆栈指针;

⑦跳转到C程序romStart()函数中。

在这里,只需要修改SYSCFG、EXTDBWTH、ROMCON0、ROMCON1、DRAMCON0等寄存器来设置FLASH、SDRAM、DM9008的基地址和大小即可。这需要根据板上的配置来修改,修改的内容在wrSbcArm7.h文件中。

BSP基本部分就已经修改完成,至于bootInit.c和bootConfig.c文件,我们一般不需要修改它,只是在调试过程中为了方便调试,可以将他们拷贝到BSP目录下,然后修改makefile文件,在makefile文件中添加如下两句。

BOOTCONFIG=bootConfig.c

BOOTINIT=bootInit.c

(5)利用tsfs(targetserverfilesystem)下载

要利用tsfs下载VxWorks,首先需要配置以下内容:

①在config.h文件中添加如下内容

/*Serialportconfiguration*/

#defineINCLUDE_SERIAL

#undefNUM_TTY

#defineNUM_TTYN_SIO_CHANNELS

#undefCONSOLE_TTY

#defineCONSOLE_TTY0

#undefCONSOLE_BAUD_RATE

#defineCONSOLE_BAUD_RATE38400

/***WDB***/

#ifdefSERIAL_DEBUG

#defineWDB_NO_BAUD_AUTO_CONFIG

#undefWDB_COMM_TYPE

#undefWDB_TTY_BAUD

#undefWDB_TTY_CHANNEL

#undefWDB_TTY_DEV_NAME

#defineWDB_COMM_TYPEWDB_COMM_SERIAL/*WDBinSerialmode*/

#defineWDB_TTY_BAUD38400/*BaudrateforWDBConnection*/

#defineWDB_TTY_CHANNEL1/*COMPORT#2*/

#defineWDB_TTY_DEV_NAME“/tyCo/1”/*defaultTYCODRV_5_2devicename*/

#endif/*SERIAL_DEBUG*/

/*tsfsaddedbycaiyang*/

#defineINCLUDE_TSFS_BOOT

并修改引导行为

#defineDEFAULT_BOOT_LINEpar“tsfs(0,0)host:vxWorksf=8h=169.254.72.67e=169.254.72.68u=caiyangpw=caiyang”

注:串口1用来显示引导信息,相当于PC机中的显示器,串口2用来下载VxWorks和调试。同时串口2波特率不能太高,经测试115200好像不行。

②配置targetserver

启动Tornado开发环境,选择Tool->targetserver菜单。在下拉菜单中选“targetserverfilesystem”并选中EnableFileSystem,然后目录指向Vxworks所在的地方。同时注意要把TornadoRegistry打开,这样配置完后点击Launch按钮即可连接成功,此后就可以通过串口2下载VxWorks和调试。

一般情况下,我们首先调试好BSP,然后在调试网卡。所以在调试网卡前,我们需要用串口来下载VxWorks映像。

至此,我们的BSP就开发完成。

4结论

在没有调试BSP的硬件工具如仿真器的时候,我们只能通过点灯或者串口输出来定位程序执行的正确性。这大大增加了调试

苹果掌控微处理器设计资源 篇3

在2005年11月的微处理器论坛上,P.A. Semi表示将设计基于IBM Power PC架构的低功耗双核处理器,这颗处理器名为P.A. Semi PA6T-1682M,采用65纳米工艺制造。对于选择PowerPC架构,P.A Semi的说法是它们不想与英特尔和AMD直接竞争,所以不考虑流行的X86,加之产品本身定位于刀片服务器领域,IBM的PowerPC架构更具优势—不过选择PowerPC架构的幕后驱动者恐怕是苹果公司,因为在IBM无法提供低功耗、高性能的PowerPC处理器后,苹果就与P.A. Semi进行合作,希望P.A. Semi能够设计出高效能、低功耗的产品,以满足苹果公司的需要。遗憾的是,苹果后来突然宣布转向Intel X86平台,P.A. Semi对此大为光火,他们很清楚研发投入的巨额资金将很难收回。

尽管失去苹果公司这个潜在客户,P.A.Semi还是坚持他们的处理器研发进程,终于在2007年2月,P.A. Semi正式发布了PA6T-1682M处理器,该款产品集成了大约2亿个晶体管。处理器的两个核心分别拥有128KB专用一级缓存,并内建TCP/IP、安全计算等加速引擎,核心之间则通过16Gb的Connexium界面与其他部分相连。2MB二级缓存、两个DDR2内存控制器、更新追踪数据存储器、输入输出等子系统也通过Connexium与处理器联系在一起。据称,输入输出子系统支持8个PCI-E控制器、2个10Gb以太网控制器和4个Gb以太网控制器,功能十分强大!尤其突出的是,这款处理器频率在2GHz左右,最大功耗不过25W,典型功耗更是只有5-13W。P.A. Semi宣称,P.A. Semi的能源效率可比任何产品高出三倍,具有极其理想的功耗-性能表现,并且具有很强的扩展性,可轻松拓展到8核以上。

处理器设计 篇4

海洋面积占地球总面积的71% ,我国是海洋大国,对于勘探海洋内蕴涵的石油等资源,声呐系统起着关键作用。本文主要通过CORDIC算法和基4时域FFT算法,设计并实现了声呐系统的核心———FFT处理器。

1 CORDIC 算法

1. 1 CORDIC 算法原理

1959年J. Volder提出了CORDIC算法,即标旋转数字计算机方法,并将其首先应用于导航系统。它通过移位和加减运算代替乘法运算,简单地说就是一种逼近方法。通过迭代方式完成角度的旋转, 最终得到结果,可以计算三角函数,双曲线,指数,对数的运算。1974年J. Walther用它研究了一种能计算出多种超越函数的统一算法。算法原理如图1所示。

1. 2 CORDIC 算法结构

实现CORDIC算法的硬件结构主要通过流水线、单步循环迭代和粒度迭代三种结构来实现,本文主要采用流水线结构。流水线结构是基于循环迭代结构,将其展开这样就能使CORDIC算法满足高速要求。如图2所示。

流水线CORDIC算法结构,也称为高速流水线结构。是将运算单元分成很多组,在每组中加入流水线寄存器,每组数据计算结束后,放入寄存器中, 寄存器的作用是临时存储数据。插入了寄存器的单步迭代结构可以同时工作,所以加快了计算的速度, 因为它可以同一时间输出计算结果,所以它只需要一个时钟周期就可以完成一次运算。

2 FFT 算法

2. 1 FFT 算法原理

FFT是离散傅氏变换 ( DFT ) 的快速算法 ,称作快速傅里叶变换。它是傅里叶变换在时域和频域上都呈离 散的形式,根据离散 傅氏变换 的奇、偶、虚、实等特性,对离散傅里叶变换的算法进行改进获得的。其 中包含基2、基4、基8蝶形算法等等。

2. 2 几种蝶形算法分析

通过比较各种基算法的实数乘法和实数加法, 可以得到运算量比较表,如表1所示。

比较三种常用结构,基2结构的运算量最大, 基8结构最小,在FFT运算过程中,运算量的减少往往伴随着硬件的消耗增加,所以达到运算量和成本的平衡成为关键。由于本文要求FFT处理器能处理水声信号的要求,即速度快,运算量少,硬件的复杂度不能太高,所以选用了基4结构来实现FFT处理器。

2. 3 FFT 处理器硬件实现结构

作为一款优秀的FFT处理器,算法的选择很重要,同时硬件结构也是决定运算速度的关键。FFT处理器的硬件实现结构主要有三种 : 全并行结构、流水结构、递归结构等。从三种结构的选择上来说,就是运算速度和硬件消耗的比较,综合比较上述三种结构,运算速度和硬件消耗成正比,即速度越快硬件消耗越大,速度越慢硬件消耗越小。本文设计的FFT处理器主要针对于水声系统,即对速度和硬件消耗都有一定的要求, 所以采用了流水线结构。流水线结构的主要特点是逐级顺序 处理,事实上是 一种串行 处理结构,如图3所示。

流水线结构的运算方式是当数据输入到单元RAM中后,运算结果 直接输入 到下一级,起初的RAM会再一次接收新的数据,这样反复操作,直至所有数据计算完成后,再开始下一级的运算。

3 总体模块图

图4为FFT处理器整体结构图,它是一个FFT处理器的外部结构图,包含8个接口。考虑到FFT处理器都是以负数的形式运算,故输出为两个接口即实部与虚部,输入也相应地对应两个接口,数据位宽是16位。其中Reset接口为模块复位键, CLK接口为模块时钟输入键,Enable接口为模块使能键。

4 系统集成

本文设计的FFT处理器设计在水声系统中的声呐波束形成器上,针对声呐波束形成器的特点设计了整体结构,如图5所示。

各等间距直线阵输出阵列信号,即阵元数据,输入到A/D转换器,模拟信号转换成数字信号后,输入到FFT处理器中,由于数据位宽为16位,也就是1024点数字信号,FFT处理器将其进行基4蝶形运算,最后将得到的频域信号输入到CRT显示器,并显示出来,或者输送到下一级处理单元。

5 结果分析

首先分析了CORDIC算法和FFT算法,比较了各个算法在速度上和硬件消耗上的优缺点,又针对应用于水声系统的FFT处理器比较了各个硬件结构的区 别,最终选择 了基于流 水线结构 的FFT处理器。在每一级运算处理中,流水线结构都能够满足运算的需求,且提高了运算速度,达到了预期目标。

6 结束语

图片处理教学设计 篇5

4、师生共同分析制作个性日历的步骤和环节,简单介绍。

[设计意图]学生的学习必须与大量的任务或问题相结合。用问题来引导和维持学生学习的兴趣和动力。让学习的主动权掌握在学生的手中,教师不断的激励学生前进。教师提出任务以后,让学生提出解决问题的想法,引导学生对问题进行分析,并适当作出示范。及时帮助学生形成合理的思路和方法。所以提出“如何制作个性日历”这个任务以后,老师引导学生寻求解决之道,既能活跃课堂气氛,又能够合理、有效地解决问题。

(二)、环环相扣,挑战自我 教师提出具体学习任务 任务一:选图像

1、观察图片素材,并比较,看看哪一张适合作为图像。

2、你能用“我行我速”对它们进行编辑吗?

3、比比谁的处理效果更好。

步骤:打开我行我速——>单击“文件”(左上角)——>打开——>打开相片——>选择图片。

师生共同讨论如何选择图片,教师简单讲解我行我速的基本使用方法,要求学生利用我行我速选择图片,选择其中一幅图片作为图像。

学生实践、示范、比较,完成任务。教师总结我行我速选择图片的方法。

[设计意图]我行我速是本节课的主要学习工具,也是本节课的教学重点。软件工具的学习不仅仅通过学生的自主实践学习,更重要的是需要教师根据教学需要,对软件进行重点难点的提炼总结,精心设计问题,通过教师引导分析比较,激励学生更好的完成任务。让学生在解决问题的过程中去探索、发现、获得、掌握相关软件的知识技能。

任务二:设置日历

1、“分享”——>“日历”

2、根据个人喜好选择类型、方向、版式。

3、设置起始日期。

步骤:

1、单击分享——>日历——>设置“日历类型”(一个月)——>方向——>模板

2、单击“下一步”按钮(左下角)——>起始日期(年:2015;月:一月)——>语言“英文或中文”

师生共同分析探究,明确任务要求。选择日历的类型及模板,并设置日历方向。比较大家设置出来的日历有何不同,评价各自的优缺点。学生探究学习,示范交流,教师总结。

[设计意图]这一条任务相对任务一难度上有了提高。学生对我行我速软件有了基本的了解和简单的运用,单着任务驱动和教师共同分析,任务二明确了设置日历类型,模板的方法。具体选择哪种类型,模板就需要学生在实践操作中探究、比较、发现。教师在学生的实践过程中扮演了帮助者、合作者的角色。针对学生的共性问题和不同的解决方法进行适当的解决和评价。

任务三:添加年份字符串,添加日期表格。

步骤:单击下一步——>单击“添加年份字符串”按钮——>单击“添加日期表格”按钮——>对页面进行排版。

任务四:添加编辑文字:“大丰市大桥初级中学”、“七年级(2)班”。步骤:单击“添加、编辑文字”按钮——>输入“大丰市大桥初级中学”、“七年级(2)班”——>设置文字色彩、字体、效果和对齐方式。

-师生共同分析探究,如何添加文字,并对文字进行编辑。

[设计意图]如何根据需要选择合适的模板,设置相应的格式背景,这就需要教师钻研教材,精心设计,从学生角度思考比较,并以环环相扣的问题任务的形式表现出来,是学生在解决问题、自主探究、完成任务的过程中,培养学生积极思考,乐于实践的净胜。教师除了设计明确的任务要求外,积极对学生的难题进行引导,还要提供支持信息(学习素材),使得课堂上的有难度的“挑战”能在有限的课堂实践内完成,也适合七年级学生的认知发展水平。

思考:如何编辑图像? 步骤:右击图像进行编辑。任务五:保存日历图片

步骤:单击下一步——>磁盘——>输入文件名——>保存类型“JPG”——>保存

(三)、展现自我

展示学生作品,并评价。

教师根据学生完成情况,选择一幅学生作品讲解日历制作过程方法。对完成作品的学生进行鼓励。

(四)、总结

为什么选择我行我速进行日历编辑呢?

1、可设定日历提示功能,提醒自己重要事件或约会。

2、日历样式多,包括一周、二周、一月、二月、一季、一年等。

3、日历分享方式包含档案储存、E-mail、列印或设为桌面。

4、可以在一张纸上同时列印多个日历。教学小结:

处理器设计 篇6

关键词:温湿度监控系统;STM32处理器;设计;大棚;参数设置

中图分类号:TP273 文献标识码:A 文章编号:1674-1161(2014)11-0015-03

随着微电子科技的不断发展,农业科技的智能化需求越来越高,传统直布线测量与控制方式已经无法满足需求,采用无线传输温湿度成为必然趋势。以STM32为控制核心设计温湿度控制系统,采用高精度温湿度传感器对温度进行实时测量,利用STM32核心处理器进行比对、转换等计算,使用无线模块和GPRS模块连接上位机,并通过上位机串口接收和发送数据。

1 设计方案论证

1.1 控制部分

方案1:采用STC89C51/52八位单片机实现控制。此单片机软件自由度较大,硬件成本低,但资源相对较少,需要强劲的“芯”来支持联网通信功能,这在八位机上很难实现,因此不适用于该系统。

方案2:采用当前较流行的STM32控制器。此控制器硬件资源丰富,包括多个I/O接口和SPI通讯接口,性价比很高,且便于操作。

1.2 传感器部分

方案1:采用NTC热敏电阻温度传感器和HR202湿敏电阻传感器模拟量输出,性价比较高。

方案2:采用数字温湿度传感器输出全数字化信号,有利于单片机处理和控制,且体积小、省去很多传统外围电路,但该传感器价格比传统的热敏电阻温度传感器高,且软件操作较繁琐。

1.3 联网控制部分

联网控制部分采用当前流行的Zigbee射频模块,其具有灵敏度高、反应速度快,组网能力强、安全可靠的特点。再利用GPRS模块与Zigbee局域网互联成一个整体,解决移动网络盲区覆盖的问题。

1.4 放风控制部分

采用大棚两侧放风方式。

1.5 系统框图

系统控制部分采用STM32F103系列微处理器,传感部分采用SHT15数字温湿度传感器,组成的系统框图如图1所示。

2 硬件电路设计

2.1 温湿度采集模块

在大棚生产中,温度和湿度是最主要的被控参数之一。其中相对湿度的测量比较复杂,需要设计信号调整电路及较为复杂的标准设定过程,且测量精度难以保证。究其原因,湿敏元件在稳定性、可靠性、非线性等方面很难达到均衡。

传感器选用SHT15数字温湿度传感器,其将工业级CMOS芯片技术与传感技术结合起来,由多个传感模块组成单片全校准数字输出温度和相对湿度。将温度传感模块、湿度传感模块、数模转换模块、信号调整、I2C接口等全部集成到传感器内,不但干扰更少,而且设备体积也更小。SHT15的内部结构见图2。

SHT15全量程标定,两线数字输出,温度测量范围为-40~+123.8 ℃,精度可达±0.3 ℃;湿度测量范围为0~100%RH,测量精度可达±2%RH;响应时间为8 s(tau63%)。

2.2 Zigbee无线模块及GPRS模块

SN102无线模块以射频模式接收和发送数据,通过SPI接口与微控制器进行数据交换、指令发送与接收。此模块可对多个大棚中的温湿控制设备进行统一的数据发送和接收,形成1个1 000~2 500 m范围的局域网络。其特性如下:1) 安全可靠。Zigbee技术采用AES-128加密算法,具有完整性检查和鉴权功能。同时,其采取的碰撞避免机制有效避免了数据接发时的竞争和冲突,且同频抗扰能力也非常出色,支持跳频及固定频率2种工作模式。2) 自组网和自愈能力强。Zigbee网络可以增加、删除或移动节点,当节点出现发送阻碍时可以自我修复,保证网络正常工作。无线人工干预可感知节点的存在,并构造成星形、树形、点对点、点对多点、MESH网络,网络深度可达到8级。3) 反应速度快。此设备发射功率可达17 dbm,深度休眠唤醒时间120 us,能够满足实时通信要求。

2.3 GPRS模块

GPRS模块采用西门子MC35。该模块可提供40线ZIP接口、SIM卡接口、标准RS232双向接口,支持EGSM900MHz/GSM1800MHz双频2种工作模式。

2.4 放风控制器

大棚卷膜两侧放风时,直流电机功率在60~100 W之间,电机双向转动,一旦棚内温度超过设置限值,电机即根据设定要求正向卷起棚膜或反向放下棚膜。T通过4个功率元件组成H桥电路,用场效应管、三极管等开关元件实现PWM(脉冲宽度调制)调速,输出电流要求达到6 A。

2.5 STM32主控电路

该处理器是一款嵌入式ARM处理器,为MCU提供低成本的平台、缩减的引脚数目和较低的系统功耗。同时,其也提供卓越的计算性能和先进的中断系统响应。STM32主控电路是一款精简指令集计算机RISC处理器,拥有一致的指令模式和相同的指令周期,提供额外的代码效率。其增强型系列还拥有内置RAM核心,可与所有的ARM工具和软件兼容。

STM32F103系列微处理器的基本功能和特点为:1) 适合工作于-40~+105 ℃的环境,供电电压在2.0~3.6 V之间,省电模式保证低功耗的应用要求。2) 工作频率为72 MHz,内置高速存储器(高达128 K字节的内存和20 K字节的SRAM),有丰富的增强I/O端口和链接到2条APB总线的外设。51个快速I/O端口,且所有I/O口均可以映像到16个外部中断,允许5 V信号。3) 所有型号的器件都包含2个12位ADC、3个通用16位定时器和1个PWM定时器。4) 包含先进的通信接口,即2个I2C和SPI、3个USART、1个USB、1个CAN。5) 增强型系列包括36~100脚等5种不同封装形式,不同形式的器件外设配置不尽相同。系统采用的48脚处理器如图3所示。

3 软件设计

软件程序主要分2部分:电力系统通断控制和温湿度数据采集。系统主程序的框图如图4所示。

4 结语

目前,虽然嵌入式微处理器在农业科技领域中应用广泛,但很多传统的嵌入式微处理器为8位,性能无法得到有效提升,而传统32位基于ARM的处理器需要嵌入式操作系统支持,无法使整个系统更加精炼。以Cortex-M为内核的新型微处理器不需要操作系统支持,用Keil C语言进行编程,大大减轻了设计人员的工作量。

以Cortex-M3内核的STM32F103增强型ARM处理器,结合防护型温湿度数字传感器进行温湿度采集,并在多组四位数码管上实时显示温度和湿度;通过Zigbee无线模块进行实时数据互通、参数设置等操作;微处理器完成相关计算后,对设备放风、喷淋等设备发出指令。根据大棚的实际需要调取大棚实时温湿度记录,并进行远程参数设置,可实现大棚的温湿度智能化控制管理。

参考文献

[1] 潘辉,张燕,张明,等.基于ZigBee的仓库温湿度监控系统设计[J].计算机与数字工程,2014(9):1737-1740.

[2] 王东涛,鞠凤船.农业大棚温湿度监控系统设计[J].安徽农业科学,2010(35):20446-20447.

[3] 蒋鼎国.基于GPRS的温室大棚温湿度监控系统的设计[J].湖北农业科学,2014(9):2153-2155.

处理器设计 篇7

随着声纳和雷达以及电子对抗处理算法对信号处理机的性能要求越来越高, 简单的依靠单个信号处理机性能的提升已经出现瓶颈。一方面处理器速度的提升逐渐难以满足算法复杂度和实时性的要求, 另一方面通用处理器的性能难以和专用处理器 (DSP) 相媲美。为了解决以上矛盾, 多处理器协同, 大规模并行处理已经成为当前信号处理机的首选解决方案。

随着嵌入式系统应用的不断发展, 相继出现了一批优秀的IO互联技术及体系结构, 如PCI Express, Rapidio, HyperTransPort, InfiniBand等, 其中Rapidio以其高效的协议效率, 更加灵活的系统拓扑结构以及各大硬件和软件厂商的支持, 在嵌入式应用中占据越来越重要的地位[1,2]。

本文将阐述基于TS101, GS2E和MPC8641不同架构CPU基于Rapidio交换结构信号处理的系统软件设计与实现。

1系统架构概述

目标硬件为3种不同构架的CPU, 分别为TS101、GS2E和MPC8641 (MPC8548) 。由于芯片能力的不同, 对应系统的硬件结构也有3种不同形式[3,4]:

TS101和GS2E模块采用Local Bus与FPGA相连, FPGA通过IP核生成Rapidio接口接入Rapidio交换网络。而MPC8641已经集成Rapidio接口。

2系统软件方案概述

从硬件结构来看, GS2E与TS101系统结构更为相似。但是由于TS101处理器资源较少, 无法运行Linux操作系统, 而其他两种处理器的软件均基于Linux操作系统, 因此GS2E的软件方案与MPC8641更为相似, TS101则采用与其他二者不同的方案。GS2E相比MPC8641缺少以太网接口, 但可以通过软件方式在Linux下虚拟出基于RapidIO的以太网设备。

TS101系统的软件方案与二期平台的方案较为相似。TS101处理器间的通信通过RapidIO端口进行。同时还可以把RapidIO看作二期平台的CPCI总线, 主机和TS101之间是主从关系, 主机通过RapidIO直接向TS101加载程序, 访问TS101的片内资源。主机提供远程驱动代理服务器, 开发主机通过以太网与主机相连, 使用类似二期的远程方式操作TS101。

MPC8641系统采用RapidIO作为处理器间通信的方式。主机的开发和调试信息主要通过以太网传递, 不会占用RapidIO的带宽, 并可以利用众多现成的基于以太网的开发和调试工具。GS2E虽然不具有以太网接口, 但是可以通过软件虚拟出Linux下基于RapidIO的以太网设备。这样GS2E也可采用与MPC8641相同的开发和调试方式。

3软件方案

根据已有的研究成果, 基于模块化和可重构的思想, 以上三种不同模块采用的软件架构方案如下:

4Linux部署

MPC8641或者GS2E板上的软件可分为Bootloader、Kernel和Rootfs三部分。

(1) Bootloader

板卡复位后运行的第一个程序, 负责硬件的初始化和一些简单的设置, 然后将linux内核引导起来。一般固化在flash中。常用u-boot, 大小约为500KB。

(2) Kernel

Linux内核, 可以包含驱动程序。大小约为1MB。可固化在FLASH中或者使用bootloader通过网络下载到内存中运行。

(3) Rootfs

包含Linux内核运行的配置文件、动态加载的驱动模块、系统应用程序、用户程序及其依赖的库文件等。原始大小一般2MB以上, 只读, 还需要包含一部分可写的文件系统用来下载的用户程序。可固化在FLASH上, 可包含只读部分和可读写部分, 还可放在主机上通过nfs网络挂载。

三部分组件都可以通过网上获取到相应的源码和工具, 但制作较为复杂。1.2.0版的u-boot支持8548和8641的一些开发板, 需要移植到自己的板卡上。Linux新版内核支持8540和8641的开发板, 需要板级移植。Rootfs可采用busybox制作。编译这三部分组件之前需要先配置好主机linux的开发环境, 包括交叉工具链的安装和环境变量的设置。

Denx的网站提供了powerpc系列的嵌入式linux开发工具包eldk。其提供了全系列ppc的交叉工具链、linux内核源码、u-boot源码、ppc的各种工具和库文件[5]。

Freescale为其处理器系列提供了linux BSP, 包含交叉工具链、为其开发板移植好的linux、移植好的u-boot、busybox以及linux部署工具ltib。Ltib使用一个配置环境可制作出bootloader、kernel和rootfs[6]。

5用户程序开发

开发主机可安装redhat或者fedora core的linux发行版, 或使用Windows操作系统并在虚拟机上安装Linux, 使用eclipse作为系统的开发环境。Eclipse可调用gnu的gcc进行本地编译或者交叉编译, 然后使用gdb通过以太网或串口进行本地或者远程的调试。

6测试结果

我们在基于ATCA架构的机箱上实现了以上所述的硬件架构, 分别研制成功了基于MPC8641D, GS2E, TS101的AMC板卡, 以及基于Full-Mesh架构的Rapidio交换板以及载板, 根据以上系统软件方案的设计, 我们成功的架构了一套开发调试应用的解决方案, 示例应用方案采用一块MPC8641D AMC板卡做控制主机, GS2E和TS101做运算模拟雷达系统演示:

值得注意的是, 上述系统软件方案可以非常方便的进行改进和移植, 适用于不同的硬件架构方案, 后期我们已经实现在MPC8641上部署VxWorks操作系统, 还实现了MicroTC和VPX的硬件架构, 上述系统软件方案都可以在不需要繁杂修改的基础上顺利的移植和应用于新系统中。

参考文献

[1]RapidIO Trade Association. RapidIO Specification 1.3[EB/OL]. [2005-6]. www.rapidio.org/specs/current.

[2]RapidIO Trade Association. RapidIO, PCI Express and Gigabit Ethernet Comparison.[2005-5-3]. http://www.rapidio.org/education/documents/InterconnectComparison_v02.pdf.

[3]PICMG 3.0 Revision 2.0 AdvancedTCA Base Specification. http://www.picmg.org.

[4]Understanding backplane, chip-to-chip tech (EETimes) http://www.eetimes.com/industrychallenges/interconnect/showArti-cle.jhtmlarticleID=55800439

[5]Solutions offered by DENX Software Engineering. http://www.denx.de/en/Software/WebHome.

智能尿便处理器的设计与研究 篇8

如何轻松的帮助卧床病人解决大小便问题是护理人员面临的难题。采用尿便处理器来解决大小便问题, 不但减轻了护理人员的劳动强度, 还消除了护理人员带给病人的“隐私顾虑”[1]。目前, 市场上有一些国内或进口的相关产品, 但这些产品价格昂贵且很少能够灵活应用到护理床或普通床上。

研发的尿便处理器能够很好的弥补以上不足, 其具有价格低、智能化、便利化和结构紧凑等优点。

1 尿便处理器的主要功能

尿便处理器的主要功能是帮助长期卧床、下肢行动不便的老人或患者轻松解决大小便问题, 具体包括大小便冲洗、人体私部清洗、暖风烘干、双向侧翻身等护理[2,3] (如图1所示) 。其中, 便槽防溢是在冲洗便槽的过程中, 通过传感模块实时监测便槽水位从而防止便槽中的污水溢出;双向侧翻身是指患者可以向右或向左侧翻身;水温可实现三档调节, 风温可实现两档调节, 同时, 设有手动操作和自动检测两种模式。

2 总体结构方案设计

尿便处理器要求使用方便、卫生、舒适, 它安放在护理床或普通床上, 周围垫以海绵, 以供病人躺在上面。使用时, 将尿便处理器放在病人两腿之间, 用裹布系好。如果处理器过高会导致海绵厚度增加, 这就要求其结构紧凑, 高度适中, 且有足够的强度。

在调研的基础上, 考虑了以下三种设计总体方案:

方案一:在便槽主体的侧边设计一个污水孔 (如图2a) , 让污水从侧边排出去。

方案二:在便槽主体的底部设计一个污水孔 (如图2b) , 通过一个转弯接头, 让污水先经过一个垂直水管改变流向, 再排出去。

方案三:沿着便槽主体的流向 (如图2c) , 让污水顺着前方流出。

仔细分析上述三个方案, 方案一:污水从便槽主体侧边排出, 脏物只需被冲一小段距离就可冲到安全位域, 所需冲洗清水量少。但在实验中发现, 污物从便槽主体冲向主体侧边的污水孔时, 如果冲水压力较大, 会有污水溅到臀部, 反之又无法将脏物冲洗干净, 冲水压力难以控制。方案二:污水先经过垂直水管 (便槽主体与转弯接头的衔接处) 再排出去, 脏物也只要被冲一小段距离即可, 所需冲洗清水量少。但由于转弯接头和衔接处的存在, 处理单元的高度增加了近65mm, 将导致海绵垫的厚度增加, 违背了结构紧凑的设计原则。方案三:通过在便槽主体流出方向上安装类圆锥的过渡管, 污水便可顺着一个方向排出, 由于过渡管是类圆锥型, 可使急速水流改变方向, 朝着斜向下的方向流出, 这样水就不会溅到人体臀部, 在较大程度上符合了设计要求, 故选用本方案。

方案三的整体结构如图3所示, 主要包括便槽主体、冲洗喷嘴、清洗喷嘴、遮挡盖、外壳、单向阀、侧翻身机构、各种传感器等, 结构紧凑、轻便。传感器的主要作用是检测尿便处理器的各种状态, 将检测到的信息传递给主控制器。

3 主要结构设计

3.1 便槽主体的设计

便槽主体是尿便处理器的核心部件, 其作用是接收大小便。由于便槽主体的表面与大小便直接接触, 并且便槽主体截面和形状决定冲水的轨迹, 故便槽主体的设计直接关系大小便冲洗的容易程度。

便槽主体 (如图4a) 的截面设计成U型, 便于残留在便槽内壁上的水滴在自身重力的作用下流到U型槽的底部 (如图4b) ;便槽底部与水平有3°的倾角, 利于大小便被冲走, 且底部不易残留水滴;头部2处尺寸较大, 便于大小便的接收;便槽主体垂直部分1处安装清洗喷嘴, 头部2处安装冲洗喷嘴, 用于便后对患者和便池进行清洗;便槽主体的下游安装类圆锥的过渡管3, 水碰到过渡管后, 使水流方向变为斜向下方向, 这样就可以防止污水溅到人体臀部, 分析过程如图4c。

3.2 喷嘴设计

尿便处理器有两个喷嘴:冲洗喷嘴 (如图5所示) 和清洗喷嘴, 分别安装在处理主体的2处和1处 (如图4a) 。

冲洗喷嘴的作用是利用具有一定压力的清水将大小便从便槽中冲走, 使便槽洁净。冲洗喷嘴的内表面为光滑的球面 (与便槽主体内表面相匹配) , 设有两排出水孔:水平排布出水孔和弧形排布出水孔。根据大小便的特点, 水平排布出水孔负责冲洗小便, 供水管采用直径较小硅胶管, 弧形排布出水孔负责冲洗大便, 供水管采用直径较大硅胶管。为了增强大便冲洗效果, 将弧形排布出水孔设计成△形孔 (有大约3°倾角) 和矩形孔间隔分布的出水孔。大便冲洗时, △形出水孔射出△形状的水柱, 其三个棱角可将大便击碎;矩形出水孔的主要作用是提供推力, 冲走被击碎的大便, 这样大大增强了大便冲洗的效果。

清洗喷嘴的主要作用是清洗人体臀部、隐私部位和烘干人体臀部。冲洗喷嘴和清洗喷嘴的内腔通过超声波焊接而成。

流速与流量的表达式:

根据流体力学中的欧拉动量定律[3]:

由于控制体积A没有变化, 第二项则为零, 同时引入动量修正系数b, 则

其中, F:冲击力, q:水流量, ρ:水的密度, v:平均流速, u:液流中某一微小流速通流截面d A上的流速, β:动量修正系数 (取β=1) 。

水泵的流量为5L/min, 输出压力为0.42Mpa, 冲洗喷嘴出水口总面积S=8.553mm2, 则水的实际出口流速为v=q/S=9.74m/s, 单孔所产生的冲击力为F=ρq (β1v1-β2v2) =0.812N。该冲击力达到了要求。

3.3 侧翻身机构设计

侧翻身机构[4] (如图6所示) 的功能是调整卧床患者的体位, 以免造成血液循环不畅通、易患痔疮等不良现象的出现。处理单元安放在侧翻身机构上后, 总体高度较高, 这样导致床垫厚度较高, 为了解决这一问题, 利用同心圆的特点, 将侧翻身机构安放处理单元的部分设计成半径较小的同心圆的圆弧, 这样整体高度就可以降低, 使床垫保持适中厚度, 同时侧翻身机构的上底座在下底座上滑动时, 不会出现“根切”现象, 可以顺利侧旋转。根据GB10000-88中国成年人人体尺寸, 年龄在18-60岁中有99%的人体重在85kg之内, 而老年人体重会下降;当患者处于仰靠状态时, 大部分体重落在机构的后部, 故以体重85kg、患者仰靠状态运用Pro/Mechanica对侧翻身机构进行应力分析, 如图6, 应力最大值出现在加强筋周围, 为5.559MPa, 小于ABS的安全屈服强度34.05MPa, 故是安全的。侧翻身机构申请了发明专利和外观专利。

3.4 管路设计

供水管路的作用是为喷嘴提供足够且具有一定压力的清水, 其由水泵、电磁阀、加热器和水管 (5×8和6×10两种规格硅胶管) 组成。电磁阀选用小功率一进三出型, 参数为24V、260m A;水泵选用DP-60微型隔膜泵, 参数为:12VDC、40w、5L/min、0.42Mpa。分别用捣烂的小面包、土豆泥和调匀的芝麻糊作为大便模拟物在样机上进行供水管路的性能测试, 结果如表1, 测试结果表明供水管路性能良好, 水泵能提供足够的压力。若增大PWM波占空比, 冲洗性能会相应的增强。

供气管路由气泵、电磁阀、加热丝、水管组成, 电磁阀为一进二出型, 参数为24V, 260m A;加热丝参数为24V, 72W;选用低噪音双缸微型真空泵, 其参数为:电压为220VAC, 额定功率为100W, 流速为40升/分钟, 经测试, 满足要求。

4 尿便处理器控制系统

尿便处理器控制系统以ATmega128为主控制器, 该控制器具有7路硬件pwm输出口, 为水泵、风加热丝等的控制带来了方便。大小便冲洗、人体私部清洗、暖风烘干功能主要通过有序控制水泵、气泵、电磁阀、风加热丝等的通断来实现;传感模块的作用是实时监测尿便处理器的运行状况, 并把检测的信号传给主控制器分析;人机交互模块主要包括键盘、液晶LCD12864、语音系统等, 使尿便处理器变得更加人性化;电源模块主要包括开关电源 (24V, 10A) 、LM2596模块、LM7805模块、LM1117-3.3模块, 其系统框图[2]如图7所示。

5 结束语

对样机进行近100次实验, 在实验的基础上进行了多次改进, 尿便处理器实现了大小便冲洗、人体私部清洗、冷暖风烘干等功能, 且性能良好, 结构紧凑, 达到了老人或患者的照料要求, 为相关产品的设计提供参考。

摘要:本文开发了一款安放在护理床、普通床等床体上的尿便处理器, 考虑冲洗效果、结构紧凑等因素, 确定了总体结构方案;便槽主体截面设计成U型, 并具有3°倾角, 这样增强了冲洗效果, 且不易残留水滴;冲洗喷嘴的弧形出水孔设计成三角形和矩形, 有助于增强大便冲洗效果;侧翻身机构旋转灵活, 承载能力强;通过实验测试了水气供给管路的性能, 验证了可行性;通过对样机的实验和改进, 尿便处理器性能良好, 结构紧凑, 达到了预期要求。

关键词:智能尿便处理器,大小便冲洗,侧翻身机构

参考文献

[1]邓志东, 程振波.我国助老助残机器人产业与技术发展现状调研[J].机器人技术与应用, 2009, (2) :20-24.

[2]顾东袁, 杨东勇, 徐杨法, 等.智能坐便器嵌入式控制系统设计与实现[J].计算机工程与应用, 2008, 44 (31) :98-101.

[3]白建军, 张华, 刘继忠.轮椅床智能座便器设计[J].机械设计与研究, 2010, 26 (1) :121-124.

多并行处理器接收机设计与实现 篇9

在航空、航天领域, 扩频接收机对信号处理速度的要求不断提高。同时, 鉴于当前单一处理器导航接收机结构给导航算法带来的约束及并行多处理器技术的日益成熟, 为了避免专用卫星接收机的重复研制工作, 降低研制的难度、成本和周期, 提高可靠性, 近几年这一领域中提出了多并行处理器通用接收机硬件平台的思想。基于这一思想, 本文的接收机设计在文献[1]的基础上做了一些改进, 采用了两片DSP芯片TMS3206416T (以下简称C6416) 和两片FPGA芯片EP3C120的设计方案。这样, 完成各种不同的信号处理任务可以采用完全统一的硬件平台, 所不同的只是该硬件平台上运行的软件。这就增加了系统的灵活性和硬件平台的通用性, 并解决了不同信号处理任务分配的问题。

1 接收机板的总体描述

接收机板的原理图框图如图1所示, 板上资源及性能指标如表1所示。

板上有两片C6416T, 它们在结构上完全对称, 描述上称两片C6416T及其外围资源为C6416T模块。C6416T模块中对称的结构决定了两片C6416T既可以是串行流水方式的并行计算, 也可以是并发操作方式的并行计算, 具体的方式由接收机板完成的算法来决定[2]。两片C6416T通过双端口存储器 (DPRAM) 以共享存储器的方式耦合在一起, 来完成处理时两者之间的数据共享和通讯。双口存储器数据总线宽度为64 b, 容量为9 Mb。另外, 两片C6416T之间还可以通过多功能串口 (McBSP) 进行数据传输, 而且每片C6416T外围配备有1 024 Mb的SDRAM和64 Mb的FLASH。其中, 大容量的SDRAM可用来暂存大量的中间处理数据;大容量的FLASH可用来存储大量的程序和非易失性数据。这里设计的存储器最大存储容量比较大, 主要是基于通用性的考虑, 配备不同容量时可以满足不同的应用需求, 这可根据实际的情况而定[3,4]。除此之外, 板上还有两片3C120及其外围资源, 描述上将其称为3C120模块。3C120模块中两片3C120之间也通过9 Mb的DPRAM来完成两者之间的数据共享和通信, 而且两片3C120之间还通过自定义I/O扩展总线接口来进行数据通信与传输。另外, 每片3C120与各自平行对应的C6416T之间通过EMIFA总线和SPI进行数据传输, 与所对应的两路10 b ADC相连, 以完成对接收中频数据的采样[5]。

2 模块的设计

接收机板中, C6416T和3C120是核心器件, 其他器件都是外设, 以下的描述将围绕C6416T和3C120两个模块展开。

C6416T模块中主要的外设都与EMIF相连接, 所以外设接口的设计主要介绍EMIF的设计。C6416T有两个EMIF接口, EMIFA的外总线上连接了FPGA, SDRAM和DPRAM, 它们的数据宽度都是64 b。出于信号完整性考虑, 外总线通过匹配电阻来解决信号反射的问题。FPGA用同步时序访问, SDRAM和DPRAM都是同步器件, 它们被直接连接到临近EMIFA口这一级总线上, 这一级总线的访问速率高, 称为高速总线。EMIFA的每个CE空间最大的存储管理能力是256 Mb, 外接4片32 b数据宽度、512 Mb的SDRAM时, 可以达到这个最大容量, 本设计电路中每个C6416T用了两片32 b数据宽度、512 Mb的SDRAM, 占用一个CE空间, 共1 024 Mb。另外, 所选DPRAM芯片数据宽度为72 b, 为了能与EMIFA总线匹配, 在电路设计中将DPRAM的数据线每取8 b就间隔1 b, 这样就得到64 b的数据宽度。

EMIFB的外总线上连接了FLASH, 它的数据宽度为16 b, 存储容量为64 Mb。因为EMIFB对8 b的异步存储设备的管理能力只有1 Mb, 所以接8 Mb的FLASH需要做地址扩展, 这个扩展功能在CPLD中完成。在该接收机板中EMIFB的BCE1空间所对应的16 Mb FLASH用来做8 b Bootloader, 剩余的48 Mb FLASH用来存储重要数据[6]。每个C6416T的EMIFA和EMIFB的存储空间分配如图2和图3所示。

对于3C120模块而言, 它除了通过EMIFA接口和C6416T相通信外, 还通过SPI来和C6416T相互传输数据, 这样有利于数据的处理。同时, 两片3C120为了能相互共享数据和存储数据, 它们之间连接了一个9 Mb的DPRAM。

3 模块的通讯链路设计

接收机板中主要包括了三大通讯链路, 主要介绍如下:

(1) C6416T模块中两片DSP (C6416TA和C6416TB) 之间的通讯

它们有两种通讯方式:DPRAM通讯、串口通讯。两个C6416T分别与DPRAM的左、右口相连。C6416TA将要处理的数据从左口链路送入DPRAM, 再通过DPRAM的右口中断管脚通知C6416TB, 然后C6416TB从DPRAM的右口取走数据。C6416TB也可以用同样的方式给C6416TA传送数据。中断产生是通过写和读DPRAM的左右口邮箱来实现的, 如图4所示。C6416TA写左口邮箱时, 会在右口产生中断给C6416TB, 然后C6416TB读左口邮箱可清除中断。左口中断的产生和清除方法也是一样[7]。

两个C6416T通过串口1和串口2相互连接, 可实现全双工通讯, 同步串口的最高时钟速率可达1/4 CPU时钟频率[8]。

(2) 3C120模块中两片FPGA (3C120A和3C120B) 之间的通讯

它们也有两种通讯方式:DPRAM通讯、自定义I/O通讯。两片3C120通过DPRAM通讯的原理与两片C6416T相同。另外, 两片3C120之间还互连了一些I/O口, 在实际应用当中可以对这些I/O口进行定义, 让其来完成两片3C120间的数据交换。

(3) 3C120模块与C6416T模块之间的通讯[8]

它们包括两部分, 两片3C120分别与所对应平行的C6416T之间的通讯。每片3C120与所对应的C6416T之间可通过EMIFA总线、SPI和中断来进行数据的交换和控制。

4 接收机板的SI仿真

信号完整性 (Signal Integrity, SI) 是指在信号线上的信号质量。在高速电路中来自接收端的反射信号很容易到达驱动端, 如果反射信号很强, 叠加的波形就有可能改变原来的逻辑状态, 导致电路无法正常工作。该接收机板总线传输速率要求很高, 所以在PCB布线之前, 应预先进行调研, 以形成规则或设计准则, 从而确保设计结果不出现明显的信号完整性问题, 这是SI仿真的前仿真。前仿真的过程是, 首先将主要器件的IBIS仿真模型加到待布线的PCB中, 定义输入参数和可能的拓扑范围, 然后用Cadence运行每个可能的仿真组合, 分析信号完整性的仿真结果, 最后找到可以接受的数值范围, 将其范围解释为PCB布线的约束条件, 再进行PCB约束驱动布线。一般来说, 前仿真也很难保证实际布线之后不出现信号完整性问题。所以在约束驱动布线后再次进行SI仿真, 来检查是否符合信号完整性的要求, 这是SI仿真的后仿真[9,10]。在对该接收机板布线之前对地址线和数据线的拓扑结构进行了分析并做了前仿真, 其拓扑结构分别如图5, 图6所示。

从图5, 图6中可以看出, 地址线的网络拓扑结构在DSP的外设端未加匹配电阻, 这样做的目的是为了减少PCB布线的繁琐性, 但为了减少接收端反射信号对DSP端驱动信号的影响, 这样做的前提首先应当保证符合信号完整性的要求。该设计中由于元器件布局的原因, DSP的地址线到其每个外设的距离相差不大, 这样只需要在靠近DSP端加上匹配电阻就能符合SI的要求。当然, 在DSP及其外设每端都加上匹配电阻的话, 信号质量会更好, DSP数据线就采取了这种做法, 由于它的数据线与其每个外设的距离不同, 要保证信号完整性就不得不在靠近各器件这端的中部都加上匹配电阻。

为了检验实际布线后信号的完整性, 在布线完成之后对数据线和地址线分别做了后仿真, 这里只取数据线AED50和地址线AEA3的后仿真波形图, 如图7, 图8所示。

图7, 图8中, U6为DSP, 它作为驱动源, 输出100 MHz的矩形波信号驱动它的外设;U7, U8为SDRAM, U10为DPRAM, U13为FPGA, 它们作为DSP的外设, 接收DSP发送来的信号。从这两幅图中可以看出, 反射信号对数据线和地址线都有一定的影响, 但都满足信号完整性的要求, 同时也验证了在两种不同拓扑结构下所产生的信号质量不同。

5 测试结果

该接收机板已经设计实现。为了检测电路板的功能和性能, 针对DSP和FPGA编制了所需的驱动程序, 并通过各种测试程序对电路板做了大量的、长时间的各种测试。经过测试, 可以确定电路板的功能已经按照设计的初衷实现, 也符合接收机板要求的技术指标。另外, 对电路板上各种资源访问性能的测试结果做了统计, 如表2所示。

6 结 语

该接收机板的运算能力强, 通用性强, 存储容量大, 可以通用于各种视频图像处理、雷达信号处理和卫星信号处理等领域, 目前已应用在对处理速率和存储容量要求较高的卫星接收机技术方案中。

摘要:为满足对卫星信号处理越来越快的速度及通用性的要求, 设计并实现了一款高性能的卫星接收机。该接收机的设计在原理上采用多并行处理器的思想, 因卫星接收机的中频处理数据量大, 实时性高。这样, 对芯片的选型提出了很高的要求, 通过比较选择了两片目前业界处理能力强的DSP芯片TMS320C6416T核心计算单元, 并结合使用了两片功耗低, 成本低和大容量的FPGA芯片EP3C120完成卫星接收机中的数据处理, 从而使接收机的处理速度和处理能力大大提高, 满足了处理高实时性和大数据量卫星信号的要求。

关键词:DSP,FPGA,多并行处理器,卫星接收机

参考文献

[1]刘国满, 高梅国, 郑坤.模块化的三种新型实时雷达信号处理机——基于CPCI总线的双TMS320C6416并行信号处理板的设计与实现[J].测控技术, 2004, 23 (Z1) :262-265.

[2]高梅国, 刘国满.模块化的三种新型实时雷达信号处理机——基于CPCI的模块化通用实时雷达信号处理平台 (GF报告) [R].北京:北京理工大学, 2003.

[3]TI.TMS320C6414T, TMS320C6415T, TMS320C6416T Fixed-point Digital Signal Processors[Z].Texas Instruments In-corporated, 2003.

[4]TI.TMS320C6000 Peripherals Reference Guide[Z].TexasInstruments Incorporated, 2003.

[5]Altera.Cyclone III Device Handbook, Volume 1 and Volume 2[Z].Altera Incorporated, 2007.

[6]TI.TMS320C6000 DSP External Memory Interface (EMIF) Reference Guide[Z].TI Incorporated, 2007.

[7]Cypress.FLEX 72TM3.3 V 64K/128K/256K×72 Synchro-nous Dual-Port RAM[Z].Cypress Incorporated, 2006.

[8]TI.TMS320C6000 DSP Multichannel Buffered Serial Port (McBSP) Reference Guide[Z].TI Incorporated, 2006.

[9]周润景, 袁伟亭.Cadence高速电路板设计与仿真[M].北京:电子工业出版社, 2007.

[10]江思敏, 唐广芝.PCB和电磁兼容设计[M].北京:机械工业出版社, 2008.

[11]张磊, 王广生.运动模糊图像重构的算法及其并行化处理研究[J].现代电子技术, 2008, 31 (10) :145-147, 150.

处理器设计 篇10

本设计是采用先进DSP技术开发的数字音频处理平台, 可以自动处理各类不同的音频信号, 经过处理后的音频信号峰值电平对称, 有效电平平稳, 可以实现用户需求的处理结果, 可以提升节目信号的指标, 避免终端设备产生过调, 从而保证了设备的安全, 同时可以明显提高播音的效果。可广泛应用于各种中、短波调幅发射机系统。其实现的功能可分为幅度处理、节目处理和采样率及精度处理四大方面。

2 数字音频处理器的主要功能介绍

音频处理分为电平处理和能量处理。电平处理的目的是为了使处理后的节目电平在基本上保持原来动态范围的条件下, 维持输出电平恒定 (在某一范围内保持) 。这种处理常用在调频广播和电视广播处理中。

电平处理起到自动调节电平的作用, 但是对节目的动态范围不会产生很大的影响, 而能量处理的特点就是可以压缩信号的动态范围, 降低峰平比, 调制发射机后表现为平均调幅度的提高, 提升发射的边带能量。能量的处理在调幅广播中应用很广泛。

(1) 自动增益控制 (电平处理)

使用自动增益控制 (AGC) 模块来均衡输入音频信号的总电平 (浮动电平) , 达到控制节目信号平均调幅度的目的。AGC具有一个噪声门限比较功能, 如果输入信号没有达到门限, AGC将不会动作, 这样可以避免在无信号时出现噪声突然增大的情况。AGC可将音频信号调整到-26db到+26db的动态范围。

(2) 安全限幅以及过调压缩功能 (能量处理)

音频处理器能够对数字音频进行幅度检测, 它会对过调峰值电平进行压缩处理, 这样减缓了过调峰值电平的动态范围, 在进行限幅, 切掉峰值电平超出标准的部分, 这样保证了音频在最小失真的前提下进行限幅 (切削) 。这样可以保证发射机永远不会出现过调的情况, 避免发生损坏。

3 音频处理器系统设计

3.1 硬件设计

数字音频处理器系统硬件结构如图1所示, 系统由数据通路和控制通路组成。其中, 数据通路兼容模拟和数字音频格式, 用户可选择对模拟输入或数字输入进行处理, 输入的音频信号由DSP做数字信号处理之后同时输出到数字接口和模拟接口。当系统供电突然中断的意外情况出现后, 由继电器将输入的模拟或数字信号直接送到输出端, 保证播音任务的持续不中断。

控制通路以单片机为核心, 通过按键选择液晶显示屏的菜单进行参数设置, 也可以通过上位机的串口或者网口进行参数设置, 然后由SPI总线将参数传送到DSP, 控制处理算法的选择及参数配置。看门狗电路保证系统能够在有静电或者电压不稳的情况发生时, 自行复位电路。

3.2 软件设计

系统软件设计分为DSP和单片机两个芯片的程序编写, 其中DSP为音频信号处理的主要部件, 单片机用于人机接口和系统异常复位控制。本文以DSP程序为例, 如图2所示, 来说明主要音频处理算法的应用。

音频处理算法主要包括低通滤波器、高频预加重、输入增益、AGC (自动增益控制) 、削波五大部分。

低通滤波器的截止频率可以根据需要设置为5k Hz (调幅短波用) 、9k Hz (调幅中波用) 或者15k Hz (调频广播用) , 如图3所示。

高频预加重也可以根据需要设置为调幅预加重, 或者国标50us的调频预加重。国标50us预加重曲线设计如图4所示;调幅预加重可分为5d B、10d B、15d B和20d B可调曲线, 如图5所示。

AGC曲线结构可以根据调幅或调频的不同需求, 进行相应的调整, 权衡平均调幅度和动态范围两方面的制约因素, 选择不同的曲线类型和参数;AGC的静态曲线参数由限幅门限、限幅斜率、压缩门限、压缩斜率、向下扩展门限及向下扩展斜率构成, 动态参数由跟踪时间和释放时间构成。如图6所示, 曲线1和曲线2由不同的参数组成, 并且曲线2的输入端有10d B的增益, 使得音频信号更多的集中在-30d BFs之上, 获得更大的响度, 更高的平均调幅度。一般来说, 曲线1更多的用于调频广播, 曲线2更多的用于调幅广播。

输入增益用于调节整个频段的音频大小, 可以对全频段音频信号进行放大或缩小;削波是为了防止音频瞬时值过大而引发射机过调;末级低通滤波器的截止频率和前级一致, 主要用于带外噪声的抑制。

结论

通过多次试验证明, 该系统可以有效地抑制瞬时峰值, 防止发射机过调, 同时通过AGC压缩音频信号的动态范围, 使得能量更加集中, 达到提高平均调幅度的目的。该系统使用DSP编程实现数字信号的处理, 可以灵活进行模拟数字音频的切换, 并满足调幅调频广播的不同带宽要求。

参考文献

处理器设计 篇11

【摘 要】航电系统正朝着高度综合化的方向发展,越来越多的模块被集成在单一系统中,随之带来了面积、功耗过大以及板间布线复杂的问题。航电系统综合化、小型化和低功耗的需求以及工艺水平的提升,为单芯片集成多处理器内核的设计提供了支撑。本文提出一种面向综合化航电系统的Power架构双核处理器系统设计方案,首先对双核处理器系统架构进行描述,在此基础上详细分析了总线互连、复位策略、存储一致性等关键技术。该方案可广泛应用于高度综合化航电系统设计领域。

【关键词】Power架构;双核;总线互连;存储一致性

引言

航电系统目前正在向高度综合化方向发展[1],大量的红外、射频、信号处理、数字处理模块[2]被综合到一个系统,这对航电系统的性能、带宽、功耗、散热提出了严峻的挑战。将多模块集成为单芯片,能够大幅度减少元器件种类和板间连接器,有效解决面积、功耗和散热等问题。因此采用面向综合化航电系统的专用单芯片双核处理器设计成为绝佳的解决方案[3]。

PowerPC是一种精简指令集(RISC)架构的中央处理器,以其优异的性能、较低的能耗以及较低的散热量被广泛应用于嵌入式环境[4]。本文提出面向综合化航电系统的Power架构双核处理器系统设计方案,内部集成两个高性能PowerPC处理器,主处理器负责数据处理,从处理器负责FC-ASM协议[5]处理。主、从处理器之间通过DDR2存储器交换数据。本文对基于Power架构的双核乃至多核处理器开发具有一定的参考价值。

1.面向综合化航电系统的Power架构双核处理器架构设计

根据主、从处理器的功能划分,提出面向综合化航电系统的Power架构双核处理器架构设计。主处理器集成了PCIe、SRIO主机接口和DDR2控制器,同时对FC-ASM协议处理模块开放一个高速数据接口,提供了一条主机——DDR2存储器——FC-ASM协议处理模块之间的数据处理高速通道。从处理器对FC-ASM协议处理模块开放一个配置接口,提供了初始化以及寄存器配置通道。主、从处理器通过外部存储复用接口访问片外FLASH,片外FLASH存放着处理器上电所需的初始化程序。

2.总线互连

主、从处理器以及周边模块之间通过PLB4总线[6]进行互连。PLB4总线是高性能数据总线,用于在高速主、从设备之间进行读数据和写数据的快速交换。PLB4总线包含64位地址线、128位数据线。每一个PLB主设备通过独立的地址线、写数据线、读数据线和控制信号连接到PLB4总线上;而每一个PLB从设备通过共享的地址线、读数据线、写数据线、控制和状态信号连接到PLB4总线上。各个设备对PLB总线的访问是通过一个集中的总线仲裁器来完成总线控制的分配。

考虑到PCIe、SRIO主机接口与FC-ASM协议处理模块之间需要通過DDR2存储器交换大量数据,因此在主处理器PLB0总线上只分配DDR2控制器一个从设备;其余从设备被分配在主处理器的PLB1总线上。两条相对独立的数据通路:PCIe、SRIO、FC-ASM协议处理模块与DDR2存储器之间的FC通信数据通路;主处理器与FLASH存储之间的程序加载数据通路[7]。

从处理器对冗余的周边模块进行裁剪,只保留了与处理器核和FC-ASM模块正常工作相关的模块。

3.复位策略

复位分为硬件复位、软件复位和看门狗复位三种方式[8-9],其中主处理器和从处理器具有独立的软件复位和看门狗复位。硬件复位结束后,主处理器开始初始化并从外部FLASH加载程序[10~12],待主处理器程序加载完成后,从处理器开始初始化并从外部FLASH加载程序。

4.存储一致性信号量方案

主、从处理器之间通过DDR2存储器交换数据,为了保证存储一致性[13~14],本文提出了一种信号量的解决方案。主、从处理器共享一个信号量寄存器。如果从处理器要对DDR2存储器进行访问,应先读取信号量寄存器,如果寄存器值为“0”,表明主处理器正在对DDR2存储器进行访问。主处理器完成对DDR2存储器的访问后,对信号量寄存器进行写操作,写操作会将信号量寄存器主处理器端置“0”,从处理器端置“1”。从处理器读取到信号量寄存器值为“1”后,表明从处理器可以发起对DDR2存储器的访问。信号量解决方案提供了主、从处理器对共享的DDR2存储器的互斥操作机制,保证了存储一致性。

5.总结

本论文提出了面向综合化航电系统的Power架构双核处理器系统设计方案,详细论述了架构设计、总线互连、复位策略、存储一致性设计。本论文面向航电系统特定应用,将多模块集成到单片,有效解决了原系统存在的面积、功耗、散热、布线复杂等问题。本文对基于Power架构的双核乃至多核处理器开发具有一定的参考价值。

参考文献:

[1]李成文,李鹏,湛文韬,何小亚,刘宇,高杨.一种小型化高性能综合处理系统的设计与实现.航空计算技术,2014.44(4):121-125.

[2]航电系统向深度和广度发展.www.baidu.com.1MPC8641DIntegrated Host ProcessorFamily Reference Manual.Freescale.2008.

[3]潘越,宋萍,李科杰.基于PowerPC和FPGA的小型无人直升机飞行控制计算机系统设计.计算机测量与控制.2013.21(1):112-115.2FIBRE CHANNELAVIONICS ENVIRONMENT–ANONYMOUS SUBSCRIBER MESSAGING(FC-AE-ASM).INCITS,2006.

[4]128-bit Processor Local Bus Architecture Specifications. IBM, 2004.3PPC464-H90 Embedded ProcessorCore Support Manual.IBM,2007.

[5]林学龙.MPC8xx系列处理器的嵌入式系统复位电路设计.单片机与嵌入式系统应用.2005.4:80-83.

[6]王勇.基于MPC8641D处理器的对称多处理技术研究.信息与电脑.2010.5:22-23.

[7]周洪,沈华.基于MPC8640处理器的通用处理模块硬件设计.电脑知识与技术.2014.10(20):4922-4925.

[8]许伟,冯萍,郭海山.光纤通道交换网络接口卡的零拷贝技术研究与实现计算机测量与控制.2008.16(3):366-369.

[9]王长清,岑凡,蔡惠智.基于PowerPC架构多核处理器嵌入式系统硬件设计.微计算机信息.2010.26(6-2):6-7.

[10]陈海荣.基于双核PowerPC处理器的高性能计算模块设计.计算机测量与控制.2011.19(11):2824-2827.

处理器设计 篇12

井下气动风门装置属精密元件, 压风在井下受温度和湿度变化的影响, 通过气动装置时产生水和锈, 经常导致气动装置损坏、卡死等故障, 不仅造成气动风门装置不能正常使用, 而且需要经常检查、维修、更换气动装置元件, 造成大量人力、物力、财力浪费, 同时还带来了安全隐患。为提高气动风门的可靠性, 减少气动风门的故障检修率, 提高通风系统的可靠性, 针对井下存在的这一问题, 设计了气动风门装置水锈处理器。

1 气动风门装置水锈处理器基本结构

气动装置水处理器结构示意图如图1所示。

1.1 主体装置

主体装置设计为圆筒型, 设有进气管、回气管, 两管终端连接快插接头, 进风管接入装置后, 管口面向主体装置内壁, 使气流正对主体装置内壁反弹, 避免损坏过滤网膜。

1.2 过滤装置

在进气管、回气管之间用尼龙材质设置两层过滤网膜 (100 mm) , 过滤网膜采用抽拉式, 便于清洗和更换。过滤网膜可将压风管路内水、锈有效分离, 净化压风, 根据除水锈效果定期清洗或更换过滤网膜[1]。

1.3 排污装置

在水锈处理器下端设置一个球阀, 专门用于排出水锈处理器处理后积存在底部的水锈等杂物, 根据气动装置使用频率和水锈产生量的大小定期清理水锈。

2 气动风门装置水锈处理器基本原理

2.1 压风管路中的空气特点

假设有一盛水容器, 温度保持不变时, 容器内液体气压的上升是有限度的。当蒸气压达到某一定值时, 单位时间内从液面逸出分子的数量恰好等于返回液面分子的数量, 此时液相与气相保持相对的气液平衡 (称为动态平衡) , 这种现象称之为饱和状态[2]。此时的蒸气称为饱和蒸气。饱和蒸气产生的压力称饱和蒸气压, 有时也简称蒸气压。一种物质在一定温度下的饱和蒸气压值是不变的, 对纯物质来说, 饱和蒸气压只决定于液体的性质和温度, 与该物质在气相、液相中的数量无关。

井下压风管路中的气体由空气经压缩机压缩送入管路, 由于受到压缩作用, 空气体积减小, 空气会逐渐达到饱和, 多余的蒸气会凝结, 随着进一步的压缩, 空气始终处于饱和或接近饱和状态。

因为湿空气中水蒸气可视为理想气体, 根据理想气体状态方程[3], 故有:

式中, ρv为绝对湿度, kg/m3;V为湿空气中的水蒸气体积, m3;mv为湿空气中的水蒸气质量, kg;Mv为湿空气中的水蒸气分子量;R0为普氏气体常数;Pv为湿空气中的水蒸气压力, Pa;T为湿空气的温度, K;Rv为水蒸气的气体常数。

2.2 水锈处理器的基本原理

(1) 离心与碰撞原理。高压气流自进气管喷出, 由于出气管与进气管方向相差180°, 造成气流流场在处理器内部发生偏转, 含有饱和水蒸气的气流受到离心力的作用, 水分子与处理器壁面发生碰撞, 凝结成水, 汇集在处理器底部[4];气流流场在发生偏转的时候有一部分气流直接与壁面和处理器底部的水面发生碰撞, 与处理器和水面碰撞的水分子受分子引力的作用而析出[5]。

(2) 过滤原理。气动风门装置水处理器中间使用尼龙布对高压气流进行二次过滤, 由其耐酸碱性, 耐腐蚀性佳, 阻力低, 可反复清洗, 经济性极高, 受离心和碰撞作用未析出的水蒸气被尼龙纱网二次过滤, 过滤的水沿滤网流入处理器底部。

(3) 凝结作用。当水蒸气分压大于同温度同压力下的饱和水蒸气压力时形成过饱和水蒸气, 过饱和水蒸气遇冷就要凝结。由于气动风门装置处理器主体装置采用金属材料, 金属导热系数大, 散热快, 温度比进气口温度低, 在主体装置内形成温度梯度, 饱和水蒸气压力比进气口要低, 饱和高压蒸气由于温度降低而凝结。

3 应用效果分析

3.1 气动风门装置水锈处理器的主要创新点

气动风门装置水锈处理器采用简单的结构, 利用离心与碰撞、过滤、凝结等原理, 可将压风中的水锈有效去除, 该装置有如下特点:

(1) 方便、有效。风门自动装置除水和锈, 大大减少气动风门的维修率和维修工作量, 保证其正常使用的同时减少了劳动量, 提高了气动风门的可靠性。

(2) 简单、可靠。气动风门装置水锈处理器只需在管路上加设一个过滤器即可达到目的, 实施工序简单, 便于拆装和维护。

(3) 经济、实用。气动风门装置水锈处理器结构简单, 加工方便, 由于其成本较低, 处理效果好, 节约大量的人力, 较好地保护了气动风门装置, 因此具有较高的经济实用性。

3.2 气动风门装置水锈处理器经济性分析

(1) 成本分析。每一套气动风门装置水锈处理器包含以下配件:直径为110 mm的管子, 长度为600 mm;8 mm×30 mm螺丝4颗;管子弯头2个;直径12 mm的PVC快插接头2个;100 mm×580 mm尼龙过滤网膜3张。每套成本约200元。

(2) 经济价值计算。某矿共计安装5套气动风门装置水锈处理器, 2009年未使用过滤器之前, 由于气动风门受水锈影响共损坏50个五通 (350元/个) 、两位三通20个 (220元/个) 、锁阀18个 (65元/个) , 共计维修费用23 070元。至2011年使用该处理器后, 平均每年减少五通15个、两位三通8个、锁阀4个, 每年直接节约费用7 270元。

4 结论

通过现场应用表明, 气动风门装置水锈处理器不仅可以提高气动风门可靠性, 减少设备维修率与维修时间, 而且还能在节约大量人力物力的情况下创造良好的经济效益。另外, 气动风门装置水锈处理器既可以应用在井下气动风门上, 还可广泛应用于井下使用压风作为动力的设备上。

参考文献

[1]王维一.过滤介质及其选用[M].北京:中国纺织出版社, 2008.

[2]严传俊.燃烧学[M].西安:西北大学出版社, 2008.

[3]刘殿武, 杨胜强.矿井通风技术[M].徐州:中国矿业大学出版社, 2009.

[4]金绿松, 林元喜.离心分离[M].北京:化学工业出版社, 2008.

上一篇:远程医疗下一篇:出租车行业困境