数字钟课程设计改的

2024-10-26

数字钟课程设计改的(精选8篇)

数字钟课程设计改的 篇1

晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的脉冲,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。分频器电路

分频器电路将32768Hz的高频方波信号经74LS4060和74LS250的二分频的分频后得到1Hz的方波信号,可以供秒计数器进行计数。分频器实际上也就是计数器。时间计数器电路

时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,时个位和时十位计数器可以设计为12进制计数器或者24进制计数器,我们这里根据自己的意愿设计成24进制计数器。译码驱动电路

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。数码管

数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计采用的为LED数码管。

各单元模块设计和分析 晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。

图2 晶体振荡器电路图

分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32767Hz的振荡信号分频为1HZ的分频倍数为32767(2于15极2进制计数器。时间计数单元

时间计数单元有时计数、分计数和秒计数等几个部分。

时计数单元一般为24进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。

本实验采取了74LS90 用两块芯片进行级联来产生60进制和24进制

秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CP1(下降沿有效)相连即可。CP0(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CP1相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的15),即实现该分频功能的计数器相当电路连接,其中Q2可作为向上的进位信号与分个位的计数单元的CP0相连。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,也是分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CP0相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CP0相连。60进制的连接如图4所示。时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,所以在两块74LS90构成的100进制中截取24,就得在24的时候进行异步清零。24进制计数功能的电路如图5所示。

图5 24进制计数器电路

主要参考文献

《电子技术基础》

康华光

高教出版社 《电子线路设计、实验与测试》

谢自美

华中科技大学出版社 《电子技术实验》

汪学典

华中科技大学出版社 课程设计摘要 中文摘要

此次课程设计以数字钟为例,全面的利用了所学的知识,设计出了生活中常见的东西。数字钟主要有多谐振荡器、分频器、计数器、译码器组成。主要芯片有74LS90、CC4511。有多谐振荡器产生约1Mz信号脉冲。满24计数器自动复位,从而实现24 小时计时。

关键词:多谐振荡器、分频器、计数器、74LS90 英文摘要 This design report in detail the digital clock.Making using of our comment study.The digital clock is made of multivibrator type oscillator、divider、counter.Following chips 74LS90 CC4511.When the hour counter reaches the summit of 24,it will return to the beginning point.So ,the whole day is counted.Key word: multivibrator、divider、74LS90

数字钟课程设计改的 篇2

在经济、科技高速发展的今天,人才已成为社会最重要的资源之一。人才选拔活动愈加频繁,竞争日益激烈。为了减少主持人的主观判断误差,便于监督,公平公正,需要设计一种性能稳定可靠、成本低、技术先进的知识竞赛抢答器。目前,形式多样的抢答器已广泛服务于电视台、商业机构、学校及企事业单位,为各种竞赛增添了知识性、娱乐性和刺激性。在已开发的知识竞赛抢答器产品中主要采用采单片机技术、数字集成电路及PLC技术等。文中设计的抢答器以FPGA器件为核心,具有可靠准确的判别能力,计时精确,使用简单等特点。

1 总体方案设计

1.1 系统功能

将智力竞赛抢答器设计为三种工作方式:①主持按下启动键后,秒时钟计时开始,在规定时间30s之内某选手按下抢答键时,对应席位指示灯亮,表示正常抢答,秒时钟自动停止;②主持人按下启动键后,秒时钟计时开始,30s时还没有选手按下抢答键,表示放弃抢答,秒时钟自动停止;③主持人未按下启动键时,某选手就按下抢答键,对应席位指示闪动,表示犯规抢答。另外,当第一个选手按下抢答键后,电路将其它各组按键封锁,使其不起作用。采用动态扫描方式显示时间。电路可自动复位或由主持人将其复位。

1.2 主要技术性能指标

(1)选手席位数量:

8个。

(2)席位指示灯:

LED发光二极管

正常抢答:亮

犯规抢答:闪烁

(3)抢答时间范围:

0s~30s。

(4)时间显示方式:

LED数码管,两位,共阳极,动态扫描。

(5)抢答速度识别时间:

1/1000s。

(6)按键锁定方式:

自动。

(7)复位方式:

自动,延迟时间为9s。

2 系统设计描述

2.1 电路结构

根据总体设计方案,将智力竞赛抢答器划分成四个子系统和一个控制器。其中,输入子系统由抢答键、锁存器组成;时间显示子系统由计时器、动态扫描译码电路和LED数码管组成;席位指示子系统由席位灯驱动电路、发光二极管组成;时钟子系统由时钟信号源、分频器组成;控制器由启动键、启动电路、判断电路和复位电路组成。智力竞赛抢答器电路结构如图1所示。

2.2 电路工作原理

在图1中,主持人按下启动键后,启动电路输出信号Q为高电平,计时器开始对1Hz时钟信号进行计数,经过译码后显示计时时间(00s~30s)。当某选手按下抢答键时(高电平有效),该信号被锁存器保存起来,并经过席位灯驱动电路输出高电平,对应的发光二极管亮,表示正常抢答。同时,判断电路输出信号T为高电平,使计时器停止计数,锁存器处于保持状态,其它各组选手再按键无效。若没有选手按下抢答键,计时到30s时自动停止,输出信号END30为高电平;如果启动键未按下,某选手就按下抢答键,锁存器将该信号保存,并经过席位灯驱动电路输出1Hz时钟信号,对应的发光二极管闪烁,表示犯规抢答,同时判断电路输出信号F为高电平,使锁存器、启动电路处于保持状态,再按抢答键、启动键无效。

复位电路工作状态由判断电路和计时器来控制。当输出信号TF或END30有一个为高电平时,电路处于计时状态,经过9s延时/END9端输出一个低电平信号,将启动电路、锁存器和计时器复位。分频器可将时钟信号源输出的高频率时钟信号分频为1Hz和1kHz时钟信号,1Hz时钟信号用于计时和席位灯闪烁,1kHz时钟信号用于动态扫描译码控制和抢答速度识别。

3 电路设计

3.1 启动电路

启动电路由D触发器和与门组成,如图2所示。

使能端S状态由判断电路输出F控制,锁存器初始状态时(Q0~Q8均为0),F=0,经反相后使S=1,启动电路处于等待状态。主持人按下启动键时,从START端输入一个脉冲,D触发器被置成1状态,即Q=1,表示选手可以抢答,同时计时器开始计数;若主持人未按下启动键就有选手抢答,F=1,表示犯规抢答,经反相后使S=0,启动电路处于保持状态,再按启动键无效。/CLEN为清零端,与复位电路输出端/END9连接,低电平有效。

3.2 判断电路

判断电路由若干个门电路组成,如图3所示。输入端D1~D8与锁存器输出端Q1~Q8连接。

使能端S连接启动电路输出端QQ=1时,S=Q=1,若D1~D8中有1状态,则T=1、F=0,表示被锁存的信号是抢答信号。T=1,计时器停止计数;Q=0时,S=0,若D1~D8中有1状态,则T=0、F=1,表示被锁存的信号是犯规信号。由图可知,T=1或F=1,输出端/OFF状态均为0,此时,锁存器处于保持状态,而复位电路则为计数状态。

3.3 计时器

计时器电路如图4所示,两片74160接成一个同步三十进制加法计数器,输出端与动态扫描译码电路连接。使能端S、STOP和/CLRN分别连接启动电路输出端Q、判断电路输出端T和复位电路输出端/END9。按下启动键时,Q=1、T=0、/END9=1,则S=Q=1、STOP=T=0,/CLRN=/END9=1,电路处于计数状态,对CLK端输入的1Hz时钟信号进行计数,Q6~Q1端依次输出000000(0)~110000(30)。计数到110000(30s)时,END30端状态由0跳变为1,电路进入保持状态,同时启动复位电路。若在计数过程中有选手抢答,则T=1,STOP=T=1,电路停止计数,并进入保持状态。/CLEN为清零端,与复位电路输出端/END9连接,低电平有效。

3.4 复位电路

复位电路由一片74160接成一个同步九进制加法计数器。

时钟信号源输出的时钟信号频率很高,通过分频器将其分频为1Hz和1kHz时钟信号。1Hz时钟信号用于计时和席位灯闪烁,1kHz时钟信号用于动态扫描译码控制和抢答速度识别。智力竞赛抢答器电路如图5所示。

4 结果与分析

智力竞赛抢答器的仿真波形如图6所示。从图中可以看出,START端无信号输入时,若K1端输入一个脉冲,表示有犯规抢答,对应的L1端输出1Hz时钟信号,用其驱动1号席位灯闪烁,经过9s延时,系统自动复位;START端输入一个脉冲后,计时开始,QAQG端输出时间的译码信号。E1为1,个位时间译码,而E2为1,十位时间译码。当K2端输入一个脉冲时,表示正常抢答,对应的L2端输出1(高电平),用其驱动2号席位灯亮,同时QAQG端输出状态不变,即计时停在4s,再经过9s延时,系统自动复位。智力竞赛抢答器现已在自主研发的FPGA创新开发实验箱上实现,技术性能达到了设计要求。

摘要:设计一种集抢答、定时、计时、违例、组号显示、声讯、自动复位的智能化竞赛设备。采用EDA技术进行了电路设计与仿真,硬件电路已在自主研发的FPGA创新开发实验箱上实现,技术性能达到了设计要求。

数字钟课程设计改的 篇3

关键词:数字系统设计 教学内容 可编程逻辑器件 硬件描述语言

中图分类号:G642文献标识码:A文章编号:1674-098X(2012)01(a)-0173-01

集成电路工艺的创新进步和数字系统设计方法的演变改进给高等院校包括数字系统设计在内的电子技术类课程增添了新的内容,也给该课程的教学内容、教学方法等提出了新的挑战。针对电类专业开设的《数字系统设计》是一门以应用为导向的课程,其教学目标是让学生掌握前沿的技术、先进的设计方法,并具有一定的设计能力。该课程涉及到数字电路、数字系统设计方法、可编程逻辑器件、硬件描述语言等,内容新颖且较为综合,因而学生刚入门时难以掌握课程的重点内容。根据相关系列课程的设置、学生的认知规律以及近几年的教学实践,探索出了课程的教学重点及其内容切入点,这些教学重点强调以逻辑电路与数字系统设计为核心,围绕电路设计来串联可编程器件、硬件描述语言、数字系统设计方法等教学内容。

1 教学重点内容

《数字系统设计》是数字电子技术基础的后续课程,让学生在掌握数字电路基本概念和一般电路的基础上,进一步掌握数字系统设计的方法、途径和手段。其主要内容包括:数字系统与EDA的相关概念、可编程逻辑器件、硬件描述语言、电路元件的描述、数字系统的设计方法、开发环境与实验开发平台以及应用实例的介绍等。这些课程内容涉及面广,为了提高教与学的效果,探索总结了以下的教学重点内容,并作为教学实践中的教学切入点。

1.1 掌握基本电路

常用基本电路包括组合电路中的简单门电路、译码电路、加法器、乘法器、数字分配器、数字选择器等;时序电路中的触发器、状态机、计数器、移位寄存器、控制器等。这些基本电路构成了数字系统的基础组成部分,在进行复杂数字系统设计之前应该熟练掌握这些电路,包括电路的功能、电路的描述以及电路的应用场合等。熟练掌握这些基本电路有助于建立电路设计思想,避免高级程序设计语言(如C语言)的设计思路对采用HDL语言描述逻辑电路时的影响。此外,掌握这些基本电路后易于将这些电路灵活组合,以实现数字系统的逻辑功能。

1.2 树立电路设计思想

数字系统设计本质上是电路设计,从而要求树立电路设计的思想。

如前所述,树立电路设计思想首先需要熟练掌握一些基本的逻辑功能电路。其次,树立电路设计思想需要摒弃高级程序设计语言中通常采用的算法思维,逐步熟悉硬件描述语言的描述方式。数字系统设计强调采用硬件描述语言来对电路与系统进行描述、建模、仿真等。事实上,大多数学生在课程学习之前并不了解硬件描述语言,却较好的掌握了高级程序设计语言。因此开始学习硬件描述语言时常常将之与已经学过的高级程序设计语言做类比。这种类比严重妨碍了电路设计思想的建立,因为高级设计语言是面向问题的算法语言,而硬件描述语言则是面向电路的硬件语言。

最后,树立电路设计思想还需要转换电路设计的思路。数字系统的行为对应着算法中操作和操作的关系,操作由功能模块电路完成,而操作的关系对应着时序,它由控制电路来完成。换言之,抽象的算法最终要被转换成具体的电路。转换的方法是将电路的功能尽可能细化,直至能用基本电路来描述算法中每一个操作。同时,抽象算法转换成具体电路还充分体现了自顶向下(TOP DOWN)层次化设计的方法。

1.3 分层次描述电路

数字系统设计的过程就是从抽象到具体的过程,因此,设计和描述电路时是分层次的。然而让很多学生困惑和难以掌握的是,分层次的电路描述存在着多个角度。实际上,这些不同的描述角度对应着不同的问题求解域。理解不同角度的描述有助于全面了解并掌握问题,进一步拓展设计思路。

与分层次的电路描述相对应的是,在硬件描述语言中存在着三种描述方式:行为描述方式、结构化描述方式和寄存器传输描述方式。在教学中,孤立地讲授硬件描述语言中的描述方式是非常枯燥的,也很难被学生理解。但是如果从电路描述的层次化来阐述,不仅容易理解,而且强调了电路的特性,也符合树立电路设计的思想。

此外,分层次的电路描述遵循着从抽象到具体、从总体到细节的设计思路,这一设计思路正是自顶向下设计方法的体现。分层次描述电路事实上为自顶向下设计方法提供了实现的途径。

1.4 引入先进设计方法

现代数字系统设计在方法、对象、规模等方面已经完全不同于传统的基于固定功能的集成电路设计。现代数字系统设计采用硬件描述语言描述电路,用可编程逻辑器件来实现高达千万门的目标系统。这一过程需要也应该有先进的设计方法。

根据硬件描述语言的特性和可编程逻辑器件的结构特点以及应用的需要,在授课过程中阐述了如下的先进设计方法。为了设计复杂的控制器(时序电路),可采用基于状態机的设计方法;为了满足高速数据传输或运算的需求,可应用或设计锁相环或延时锁相环来处理时钟信号,应用可编程器件的内嵌功能单元或自行设计软核来提高数据吞吐量。

2 实验设计

为了提高教学效果,根据教学内容尤其是重点内容对实验部分设计了如下几个环节:(1)方案设计。根据给定的目标需求,将系统划分为能够用基本电路实现的模块;系统实现的方案并不唯一,需要根据性能指标进一步讨论优化的方式。(2)验证积累。通过对一些基本电路的描述与验证,积累基本电路,熟悉硬件描述语言和开发环境,领悟潜在其中的描述方式和设计方法。(3)自主设计,即独立分析和设计。自主确定方案进行设计、验证、评价。(4)应用介绍,即根据专业背景介绍在科研中的应用。

3 结语

针对《数字系统设计》课程内容新颖且庞杂的特点,探索总结了该课程的教学重点,以帮助学生克服课程入门的困难,并较快地激发起学习兴趣。同时将实践环节设计为设计、积累、设计和拓展,提高了实践效果。由于课程内容还在进一步发展,我们需要进一步探索和更新教学重点内容,进一步改进教学方法,科学设计实践教学,更好地促进学生自主设计和应用能力的培养。

参考文献

[1] 阚玲玲,张秀艳,梁洪卫.“EDA技术与应用”课程教学内容的定位与改革[J].南京:电气电子教学学报,2010.

[2] 刘娅琴,林霖.EDA课程教学探索和实践[J].南京:电气电子教学学报,2010.

数字钟课程设计心得 篇4

分享

创新

数字钟课程设计心得范文

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求

(1)设计指标

①时间以12小时为一个周期;

②显示时、分、秒;

③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

(2)设计要求

①画出电路原理图(或仿真电路图);

②元器件及参数选择;

③电路仿真与调试;

④pcb文件生成与打印输出。

(3)制作要求自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图

1.数字钟的构成

免费

分享

创新

数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1hz时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图

2.晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用ttl门电路构成;另一类是通过cmos非门构成的电路,本次设计采用了后一种。如图(b)所示,由cmos非门u1与晶体、电容和电阻构成晶体振荡器电路,u2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻r1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容c1、c2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

数电课程设计+数字电路课程设计 篇5

红 外 遥 控 器

院系:

专业:电气工程及其自动化班级:05电气(2)班 姓名:

学号:0520010221

目录

(一)概述………………………………(2)

(二)设计任务和要求…………………((三)元器件选择………………………((四)方案设计及其原理………………((五)总述及心得………………………((六)参考文献…………………………(2)3)3)5)6)

红外遥控器

一 概述

目前,遥控电路在我们的生活中已经运用得越来越广泛。生活中,从电视遥控器到空调遥控器,从电冰箱遥控器到计算机遥控器,无一不把人们从以前的繁杂的手动操作解放出来,甚至是在我们现代生活平时最广泛的手机应用中也出现了遥控操作。不得不承认,遥控装置已经日益在社会生活中占据更大的比重,因此,我们对遥控电路进行研究和设计是很有必要的。在此次电子电路课程设计中,我之所以选择了对遥控器电路进行设计,也正是由于遥控设备的重要性在社会生活中越来越多的突显出来,遥控电路的设计就目前我们大学生来讲还是比较有难度的,我觉得这是一个不错的自我挑战的机会。而且,我一直以来都对遥控电路感兴趣,我相信这一定能够给我今后的学习更多的帮助。二 设计任务和要求

下面,我就对相对较简单的对一个电机进行控制的双路红外遥控器的电路设计的要点等进行一番阐述:

1.外遥控器电路设计要点:

一个性能良好的红外发射和接收电路需要考虑四方面要求。

第一,须具有良好的抗环境干扰性能,因为往往使用遥控设备的周围环境都是相对比较复杂的,这主要表现在目前遥控设备多作为家用,而家庭环境里各种复杂的电器分布密度是很大的,必须要考虑到其它电器设备的干扰。

第二,是能够进行遥控动作的空间范围达到5m以上距离。这样一来,遥控操作时就避免了很多不必要的麻烦。

第三是避免不必要的误控制。

第四是良好的发射电路要有一个与之相适应的接收处理电路。要达到这四方面要求就要对36—42KHz的方波信号对编码信号进行调制处理。由于这里设计的红外遥控电路只实现两路控制,所以编码信号采用频率单一的方波信号。

2.关于红外遥控系统

通常红外遥控系统由发射和接收两部分组成,如图1所示。

在发射电路原理框图中,方波发生器1用两个开关控制产生两个不同频率的方波,方波发生器2产生36—42KHz的调制波形。两个方波信号经放大器放大后驱动红外管发射红外光。

如图2所示,在接收电路原理框图中,红外接收部分接收到红外信号后,通过译码电路译码驱动电机正转和反转。

三 元器件选择

在所设计的电路中,因为要涉及到编码、红外传输以及译码等环节,因此,在电路中,采用了四个二输入的与非门、不同大小的电阻电容若干、红外发光管、译码IC、电机等元器件,其中,在红外信号的发射电路中,不同的电阻电容的组合就产生不同的频率的方波,从而驱动红外发光管发出红外信号。四 方案设计及其原理

1.电路原理图设计及工作原理(1)发射电路原理图及工作原理

电路原理图如图3所示,设计思想如下:

由于所设计的红外遥控电路控制电机的正转和反转,所以要求发射和接收电路都要有两种工作状态,即要求发射电路能够产生两个频率发射出去,在电路图上用两个开关来实现的。20K的可调电阻辅助27K的电阻使发射电路产生36—42KHz的调制波形。

而发射电路的工作原理分析如下:

图3中,四个与非门采用集成芯片CC4011四二输入与非门。采用此集成芯片的好处是其外围电路简单,容易起振。CC4011与非门本身就带有与门电路,这样就克服了与门电路性能差的缺点。当合上开关Kl—2时,47O千欧电阻与2000PF电容产生大约310.lHz频率的方波;合上开关K2—2时,910千欧电阻与2000PF电容产生大约151.2Hz的方波,以上两个频率方波是通过F1、F2两个与非门实现的。F3、F4与外围元件产生36—42KHz的调制波形。两种波形通过放大电路后,即可驱动红外发光管发出红外线遥控信号。

如图3所示的电路工作在3v电源下,因此电源部使用两节1.5v电池代替即可,也方便延长遥控距离。而0.1uF的电容则起到滤波的作用,10欧的电阻则用作控制发射管电流的大小。

(2)接收电路原理图及其工作原理

电路原理图如图4所示:

本电路采用LM567音频译码IC来进行设计,电路每接收到一次遥控信号,LM567的8脚就翻转低电平。但在未接到遥控信号时,由于LM567的8脚输出常态高电平,四个三极管的基极电位都为高电位,没有形成电位差,电机不会转动。而当电路接收到一路遥控信号时,被其中一个音频译码器选中,次音频译码器8脚为低电平,电流通过BG1和BG2,被BG4翻转成高电平,BG2不工作。另一个译码器没有接收到遥控信号,8脚为常态高电平,电流流经BG3、BG4后在BG4处翻转,BG3不工作,这样就使BG1和BG4之间形成电位差驱动电机转动。同理,当另一个译码器选中发射电路发出的红外遥控信号后,8脚翻转成低电平,使BG3和BG2工作形成高低电位差驱动电机向相反的方向转动。两个过程刚好实现了电机的正转和反转。五 总述和心得

在以上设计的电路中,它实现了遥控电路对被控对象的两种功能控制。在此基础上,还可以设计更加复杂的多路红外遥控器。

单片机数字钟的课程设计 篇6

第一章 绪论...............1

1.1课程设计的意义..........1

第二章 电路设计方案................2.1数字电子中4的基本原理..........2.2

第三章 电路的安装与调试............3.1电路的选用..............设计小节...........致谢.................参考文献............附录A............附录B.....第一章绪论

1.1课程设计的意义

在高新技术日新月异的今天,科学技术已经成为整个社会发展的源动力,电子领域的发展更是令人目不暇接,在其推动下,现代电子产品几乎渗透了社会的各个领域,遍迹了千家万户,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。使课程设计越来越受到人们的重视,通过课程设计。可以设计出更好更新的科技产品,这将给科技的发展带来很好的积极作用,也使科技的水平得到一定的提高。同时也可以提高同学们的逻辑思维能力和创新意识。

电子技术密切联系 实际,实用性很强,开展电子技术课程设计在电子电气类我认为非常重要,不近可以学好专业知识,还可以增强动手能力,有利于我们适应未来这个竞争激烈的社会,训练我们 综合运用知识能力资料素材的查阅及收集能力,电子元气件的采购,电子电路的组装和调试能力,特别是在电路;多样化的创新方面,从理性和感性上都得到了很大的提高,经过查阅资料,选择方案,设计电路,等过程。各方面都得到了训练。

现代社会,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

一.设计题目

数字电子钟

二、设计指标:

1.最大显示23时59分59秒。

2.以24小时制为一个周期

3.具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借用电路中的时钟。

4.为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。

三、设计要求:

1.画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2.设计各个功能模块的电路图,加上原理说明。

3.选择合适的元器件,在面包上接线验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。

4.在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。

四、制作要求:

自行装配、接线和调试,并能检查和发现问题,根据原理、现象和测量的数据分析问题所在,加以解决。学生要解决的问题包括元器件和面包板故障引起的问题。

四、设计报告内容要求:

1.目的。

2.设计指标。

3.画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。

4.元器件清单。

5.设计制作的进程,考虑时钟及控制信号的关系、测试、验证的顺序,写出自己的工作进程。

6.画出各功能模块的电路图,加上原理说明(如2、5进制到10进制转换,10进制到6进制转换的原理,个位到十位的进位信号选择和变换等)。

7.画出总布局接线图(集成块按实际布局位置画,关键的连接单独应画出,计数器到译码器的数据线、译码器到数码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称。)

8.描述设计制作的数字钟的运行结果和操作。

第二章 电路的设计方案

2.1数字钟的基本组成及工作原理

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图1.1所示为数字钟的一般构成框图.⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路.⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器.⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器.⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流.⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管.2.2数字钟的工作原理

1)晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定.一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,如图1.2所示,从图上可以看出其结构非常简单.该电路广泛使用于各种需要频率稳定及准确的数字电路,如数字钟,电子计算机,数字通信电路等.CMOS非门U1与晶体,电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波.输出反馈电阻为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器.电容C1,C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能.由于晶体

具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确.2)分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频.通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(),即实现该分频功能的计数器相当于15级2进制计数器.常用的2进制计数器有74HC393等.3)时间计数单元

时间计数单元有时计数,分计数和秒计数等几个部分.时计数单元以24进制计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码.一般采用10进制计数器如74HC290,74HC390等来实现时间计数单元的计数功能.欲实现24进制和60进制计数还需进行计数模值转换.4)译码驱动及显示单元

计数器实现了对时间的累计以8421BCD码形式输出,为了将计数器输出的8421BCD码显示出来,需用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,一般这种译码器通常称为7段译码显示驱动器.常用的7段译码显示驱动器有CD4511.5)校时电源电路

当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.设计小节

时间过的真快,专眼间一周就过去了,这次课程设计也画上了一个圆满的句号。这次课程设计的主题是数字电子钟,在设计中需要用到振荡电路等通过 设计使我更进一步加深了对电的认识和理解。在设计中,首先是查找资料,我们主要是在图书馆和电脑上查找,先找出了一些芯片的关脚和功能,然后经过老师的检查,开始设计,但是由于时间的紧迫,这个设计没有进行仿真,比较遗憾,不过在设计的过程中还是是学到不少东西的,由于有些芯片我们在数字电子技术基础里没有学过的,我们在查找这些资料的过程中就学到很多东西,有些芯片本来我们不懂的,但是经过查资料使我对有些不是懂的芯片有了一定的了解。如果有时间,最好能够做出一个实物图就比较了解,但是时间实在太紧拉,虽然老师布置了很久,但是由于我们要考试复习就没有多少是时间去想,有点遗憾。

致谢

在本次电子技术课程设计过程中,得到了个老师和同学们的帮助,让我学到了很多东西,同时还感谢学校的图书馆给我们提供了很好的资料,让我们的设计更加能够轻松的完成,还有感谢各个网站提供的大量资料。

通过这次设计,在很高程度上弥补了我们的理论知识的不足,通过设计进一步巩固了我们的理论知识,让我们学的更扎实,对数电的认识更加形象。

数字电路设计课程教学改革研究 篇7

1 教学中存在的问题

笔者结合多年的教学体会, 分析电子类专业数字电路设计课程的教学现状, 认为该课程教学过程中存在的主要问题有以下几个方面。

1.1 教学内容脱离社会需求

数字电路设计课程一般包括数字电路设计、设计及应用三个部分, 原理是基础, 设计和应用是提高, 它们相辅相成、互相促进。但目前一些高等院校教学的基本情况是走向两个极端:一是过分侧重基础原理以及传统的教学方法, 而对数字电路设计的新技术重视程度不够, 缺乏足够的时效性, 使学生不能将所学知识与现代技术相结合, 不能适应企业对电路设计人才的需要;二是急功近利, 过分侧重流行数字电路开发工具的使用, 忽视甚至放弃原理的教学, 造成学生对具体开发工具的操作能力突出, 但缺乏完整的知识结构, 遇到问题不能举一反三。高等院校数字电路设计课程的教育目标是培养社会需求的电路设计应用人才, 这就要求培养的学生既理解原理、具有扎实功底, 又善于灵活运用现代设计开发工具、富于创新, 以上两个极端是不符合这一教育目标的。

1.2 教学实践有悖工程化原则

现代企业的电路设计基本上是工程化的合作开发。其基本特征是按照模块化设计的原则利用电子设计自动化软件进行组织。将电子设计自动化的基本方法融入数字电路设计课程的实践环节, 使学生尽早建立起工程化的概念, 应是顺应市场需求的一条原则, 但考察目前的教学过程可以看到, 除了毕业设计之外, 从课后作业、上机实验到课程设计或综合性实验, 几乎都是学生的个人行为, 大多数学生是抱着应付的心理被动地来完成这些任务的, 而且所有学生所作的工作千篇一律, 没有任何的创新, 更无所谓兴趣。在毕业设计中反映出许多学生不懂得如何合作开发一个实用的电路系统, 严重影响了毕业设计的质量。学生毕业后也不能迅速适应所在单位的工作要求。

1.3 教学手段缺乏多样性

目前, 高等院校电子类专业数字电路设计课程的授课大多采用电子课件。课件的运用一方面减轻了教师板书的负担增加了授课的信息量, 但另一方面, 教师在授课时对课件的过分依赖, 并加快了演示的节奏, 缺乏教与学的友好互动, 学生的思维难以与之同步也给课程的教学质量带来了一些问题。并且课下学生与老师之间缺乏交流, 使得一些课堂上遗留的问题得不到及时解决, 从而使教学质量下降。

1.4 考核制度沿袭传统的应试模式

目前的教学管理体制尤其是考核制度仍沿袭传统的应试教育模式, 在这种体制下, 高等院校以期终理论考试成绩作为对学生最终评分的主要依据, 造成学生为考试过关而学, 并不注重提高自身能力, 教师仅为完成教学任务而教, 并不注重培养学生的能力。这种违反教学规律、背离教学培养目标的现象已严重阻碍了数字电路设计课程的发展。

2 数字电路设计课程的教改思路

针对目前高等院校电子类专业数字电路设计的教学体系在诸多方面存在的不足, 笔者提出:以教学内容的整合为中心, 通过教学环节、教学手段、考核方式的配合, 建立一个较为合理的教学体系, 从而促进教学质量的提高和学生创新能力的培养。

2.1 整合教学内容, 完备知识体系

数字电路设计课程教学目标是, 通过课程的学习, 强化基础训练, 使学生理解数字电路设计的基本原理;理论课与E D A技术相结合, 应用软件工程原则, 掌握E D A设计数字电路的设计方法;培养学生的创新能力, 掌握V H D L语言的应用技术。在实施数字电路设计课程教学时, 应从基本原理、设计方法到应用开发, 涵盖如下教学内容: (1) 基本原理包括逻辑门电路、组合逻辑电路的分析与设计、常用组合逻辑功能器件、触发器、时序逻辑电路的分析和设计等。这些内容是教学的重点, 它们涵盖了数字电路的基本原理。 (2) 设计方法包括电路数字化原则、V H D L语言、E D A软件的应用。 (3) 开发软件包括Quartus II软件系统和proteus软件的应用。

2.2 重视实践环节, 培养创新能力

为了实现预期的教学目标, 必须将理论教学与实践教学紧密融合, 鼓励引导学生将理论知识灵活掌握, 并具体应用到实际生活中。实践教学是数字电路设计课程教学的一个重要环节, 课程中的理论和实际操作实验安排要合理。 (1) 教师在课堂上应给学生指定明确的有利于消化课堂理论知识的实验题目, 让学生实验时做到目的明确、有的放矢。 (2) 实验针对的往往是一定范围的知识点, 各个知识点相互联系不够紧密, 具有一定的局限性, 因此在课程的后期需要安排两周左右的课程设计或综合性实验训练, 所选题目应具有一定的应用背景, 让学生能够自由设计一个与实际生活联系紧密、具有实用价值的应用系统, 使所学知识融会贯通。

2.3 艺术组织教学, 优化教学手段

实际教学中要求教师应艺术地组织教学, 灵活采用多种教学手段, 这样才能吸引学生的注意力, 激发学生的学习潜能。

(1) 努力营造生动活泼的课堂教学气氛

学生对教师的感情直接影响到教学的效果, 教师在课堂上应鼓励学生敢于发表自己的见解, 正确与否教师都应从正面引导, 对学生的观点要给予充分的肯定, 民主、平等、融洽的课堂气氛是优化教学的基础。

(2) 教学资源全部上网, 畅通师生之间的沟通渠道

教学过程是教与学的和谐统一体, 要想达到好的教学效果, 师生之间必须及时地进行沟通。为此, 数字电路设计的主讲教师可根据实际情况, 制作或使用实用的CAI课件, 要求课件能够提供课堂教学、课后练习、重点难点、模拟试卷、疑问解答、作业批改等模块, 并与该课程的教学大纲、授课计划等教学资源全部集成到校园网, 从而建立师生间良好的沟通渠道。

(3) 教学手段应灵活多变

在授课过程中, 教师应针对不同的教学内容, 运用不同的教学手段, 提高教学质量。如对知识性较强的章节尽量采用C A I课件;对内容浅显、易于理解的章节可提出要点、安排学生自学;对需要深入掌握的重点难点, 如异步时序逻辑等, 可用板书的形式进行详细的讲解。

2.4 改革考核方式, 重视能力培养

数字电路设计的考核应分为知识考核与能力考核两部分。知识考核重点考查学生对关键概念和原理的熟悉和理解程度, 考核方式和题目要避免学生死记硬背;能力考核的方式要灵活一些, 比如与E D A相结合, 综合考查学生对数字电路的理解和掌握程度。期末可以安排学生进行综合实训, 自由组队完成, 以求在合作中掌握更多的知识培养更多的兴趣, 教师可根据每组学生的完成情况给出评判。应将考核变为激发学生学习兴趣的机会, 而不要成为教师和学生的一种无奈。

创新是一个民族的灵魂和国家兴旺发达的不竭动力, 教育是保证创新的基石。如何在数字电路设计课程的教学中加强学生的创新能力培养, 关系到未来电子类人才的综合素质。数字电路设计课程的教学改革涉及方方面面, 教师应从教学内容、教学手段、考核方式等方面认真考虑, 锐意改革, 为社会培养出具有创新能力的电路设计人才。

摘要:分析了数字电路设计课程教学的现状。认为在教学过程中存在教学内容脱离社会需求、教学实践有悖工程化原则、教学手段缺乏多样性、考核制度沿袭传统的应试模式等诸多问题。针时这些问题从教学内容、教学手段、考核方式等方面进行了探讨, 提出了数字电路设计课程的教改思路。

数字钟课程设计改的 篇8

关键词:数字技术 电脑艺术设计 改革

数字时代的今天,电脑作为技术手段对艺术设计产生了深远的影响,软件的开发和信息媒体的改变, 给高校电脑艺术设计教学带来了机遇和挑战。电脑艺术设计课程经过近几年的发展,已逐渐步入成熟期,但教学和课程体系的构建是一个长期的过程,目前高职教育中电脑艺术设计的课程大纲,从教学的指导思想到实际操作,都存在一定的问题。高等学校艺术设计教育工作者对电脑艺术设计课程不能再停留在电脑设计与传统设计孰优孰劣的争议之上, 而是要在了解数字媒体的新动态下,思考电脑艺术设计课程该如何顺应时代形势,以市场为依托,向社会提供适应时代发展需要的、符合人才培养规格的人力资源。

一、数字媒体时代对电脑艺术设计人才的培养提出新的要求

数字技术催生了新的媒体,数字媒体时代所需要的人才素质要求也发生变化。全国高校数字媒体协作学会根据统计分析指出,电脑艺术设计这个行业目前的人才缺口大约为 15万,艺术和技术不能贯通成为企业在人才招聘时遇到的主要问题,懂艺术的做不出技术活,懂技术的没艺术造诣。而造成这种局面的原因有两个:①当前高校电脑艺术设计人才的培养定位不清晰,缺乏对市场敏感度和适应性的把握,导致人才培养目标尚未跟上产业发展的需求步伐,难以适应社会需要;②高校电脑艺术设计学科分割严重,且艺术类学生水平参差不齐,学生的综合素质和艺术专长相互矛盾,对电脑艺术设计人才的培养力度和起点不够,必然导致人才培养的两极分化。

数字信息时代,社会大量需要的是将艺术表达和技术处理相结合的新型电脑艺术设计人才。因此,改革人才培养模式必须从艺术设计的实际出发,从研究电脑艺术设计从业人员素质的核心出发,强化“数字技术与艺术结合”的人才培养理念,以培养复合高等技术应用型人才为目标;要以企业需求为培养目的,以就业为导向,以实用的技能为本位,着力提高教学与实训的针对性和适应性,密切关注人才培养规格与企业发展需求之间的差距,以扩大实践教学的深度和广度。只有明确人才培养模式的定位,才能确定课程教学目标为通过各类电脑艺术设计课程的学习,结合专业理论知识与技能的教学,培养学生的创新意识和创造能力。基于这个目标,重组适应培养要求的课程结构,优化教学内容,改进教学方法和手段,强化职业教育能力,方能更好地提高教学质量,将人才培养和时代发展需求对接。

二、构建适合数字媒体时代特征的电脑艺术设计课程体系

由于对电脑艺术设计课程性质的划分、开设时间、顺序研究不够,在艺术设计课程体系中,电脑艺术设计课程设置缺乏科学性、渗透性,这导致电脑设计课程与其他课程出现严重脱节,在知识的传授与技能的训练上无法保持同步,学生无法系统地理解和把握知识结构,不能把所学知识点融会贯通。这严重制约了学生主动学习的兴趣,最终影响应用型人才综合素质的培养。电脑艺术设计课程是教授学生利用电脑这一现代化的工具,通过使用软件所提供的创作平台来完成艺术作品的课程,它贯穿艺术设计领域里的众多学科,形成了相互交织、相互渗透的结构网。针对电脑艺术设计课程具有的数字时代文化特征,在课程设置上应注重专业类课程与软件技能类课程内容的交叉配置,协调好与各课程的衔接,合理地安排好开课时间和课程顺序,增强课程体系的条理性,做到知识的系统性与专业性并重。

在修订课程教学计划时,应将电脑艺术设计课程划分阶段串联和并联到基础课与专业课当中:第一阶段为软件技能基础阶段;第二阶段为软件技能深入阶段。在开设时间上,第一阶段与基础课开设时间同步,第二阶段的开课时间与专业课程设置同步。这样分割课程有两大优势:其一强调电脑艺术设计课程的属性,明确软件技能性是属于辅助艺术设计范畴;其二,有利于课程知识点的相互渗透。在第一阶段,基础课与软件课的同时段并联开设有助于学生明确课程的意义,充分认识电脑与艺术设计的关系;第二阶段,强调电脑设计课程与专业课程的融合性,让学生带着疑问去学习软件技术,使技术和艺术之间结合得更加完善。通过这种串联方法,把软件技能课贯穿于基础课与专业课的始终,有效地解决了课程间联系不紧密所造成的课程生疏,避免了课程设置衔接的孤立性,不至于产生艺术和技术的脱节,且与艺术设计课程相呼应,大大丰富了教学方法和教学内容,促进了电脑艺术设计教学的良性循环。

三、注重适应数字媒体时代经济发展和行业需求的实践教学

数字媒体是一个日新月异、不断变化的领域,其前沿发展趋势和知识结构都处于不断更新的状态。电脑艺术设计课程在实践教学中存在两大问题:第一,电脑艺术设计课程的实践教学缺乏系统性和实际性的指导,与市场结合实践方式单一,与理论结合不够紧密,不能充分锻炼实践能力;第二,无法了解和掌握相关设备及环境的性能及操作技能,导致学生不能根据各自兴趣进一步利用这些工具进行创意性实践。 因此,电脑艺术设计课程的实践教学必须顺应时代形势,研究数字媒体特征和行业发展趋势,以培养匹配市场需求的人才。我们一方面要结合市场进行实践教学,注重掌握数字媒介传播的特点,以提高学生设计的主动权,拓展视觉效果产生协同作用,呈现出更丰富的视觉语言和个性魅力;另一方面,要加强对实训室硬件环节的投入和软件的及时更新,强化常用设备实践环节的教学和训练,使学生掌握一套完整的知识体系。在实践教学的课程项目设计上,通过设计项目实体,把理论课程带到现场,教师与学生共同协作完成实训项目。让学生进入实训室,通过项目实训的现场实践性教学,由浅到深,逐步深入。这样,由理论到实际应用,循序渐进,符合学生技能发展规律,使学生在实践教学过程中得到系统的锻炼和培养。

课程与教学的改革是一个长期的、复杂的过程,需要我们不断地研究和探索。在竞争日趋激烈的形势下,电脑艺术设计课程必须转变传统的教育教学思想和人才培养观念,主动适应社会需求,加强与行业、企业的合作,深化课程改革,采用更加符合市场和时代发展需求的方式,促进电脑艺术设计专业持续健康地发展。

参考文献:

[1]乔立恭.李晶数字媒体专业建设中美学素养地位、作用的研究[J].电化教育研究,2007,(8).

[2]田甜.艺术设计教育中计算机应用课程的改革[J].艺术教育,2006,(1).

[3]阎如山.论新媒介传播下的平面设计视觉语言[J].装饰,2007,(9).

[4]于绥贞.面向21世纪 培养跨学科人才[J].科技管理研究,2000,(3).

※此文为江西科技师范大学2010年校级一般教改课题,课程编号:JGYB-10-40-25。

上一篇:好的富有哲理的个性签名下一篇:刘丽丽师生牵手结对帮扶活动记录