EDA应用技术

2024-07-23

EDA应用技术(精选12篇)

EDA应用技术 篇1

摘要:首先阐述了EDA技术的基本概念和发展过程, 然后从几个不同的方面介绍EDA的基本特征, 最后着重分析EDA技术在设计过程中两个不同层次上的工作流程, 即电路级设计和系统级设计, 引入了一种自顶向下的高层次电子设计方法。

关键词:EDA,电子,技术,系统,设计

1 EDA技术的基本特征

EDA代表了现代电子设计技术最先进的发展方向, 它的基本特征是:设计人员按照“自顶向下”的设计方法, 对整个电子系统进行方案设计和功能划分, 系统的关键电路用一片或几片专用集成电路 (ASIC) 实现, 然后采用硬件描述语言 (HDL) 完成系统行为级设计, 最后通过综合器和适配器生成最终的目标器件, 这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1.1“自顶向下”的设计方法

高层次的电子设计给我们提供了一种“自顶向下” (TopDown) 的设计方法, 这种设汁方法首先从系统设计人手, 在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错。并用硬件描述语言对高层次的系统行为进行描述, 在系统一级进行验证。然后, 用综合优化工具生成具体门电路的网表, 其对应的物理实现级可以是印刷电路板或专用集成电路 (ASIC) 。设计者仅需利用软件的方式, 即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

1.2 ASIC芯片技术

随着现代电子产品的复杂度日益提高, 一个电子系统可能由数万个中小规模集成电路构成, 同时也带来了体积大、功耗大、可靠性差的问题, 解决这一问题的有效方法之一就是采用ASIC芯片进行设计。ASIC芯片按照设计方法的不同可以分为:全定制ASIC, 半定制ASIC, 可编程ASIC (也称为可编程逻辑器件) 。

设计全定制ASIC芯片时, 设计师要定义芯片上所有晶体管的几何图形和工艺规则, 最后再将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得面积利用率高、速度快、功耗低等最优性能。缺点是:开发周期长, 费用高, 只适合大批量产品开发。

半定制ASIC芯片的版图设计方法分为门阵列设计法和标准单元设计法, 这两种方法都是约束性的, 其主要目的就是简化设计, 以牺牲芯片性能为代价来缩短开发时间。

可编程逻辑芯片与上述掩膜ASIC的不同之处在于:设计人员完成版图设计后, 在实验室内就可以烧制出自己的芯片, 无须IC厂家的参与, 缩短了开发周期。

可编程逻辑器件自上世纪70年代以来, 经历了PAL、GAL、CPLD、FPGA几个发展阶段, 其中CPLD/FPGA属高密度可编程逻辑器件, 目前集成度已高达200万门/片, 它将掩膜ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起, 很适合样品研制或小批量产品开发, 使产品能尽快上市。而当市场扩大时, 它又可以很容易地转由掩膜ASIC实现, 因此也降低了开发风险。

上述ASIC芯片, 尤其是CPLD/FPGA器件, 已成为现代高层次电子设计方法的实现载体。

1.3 硬件描述语言

硬件描述语言 (HDL-Hardware Description Language) 是一种用于电子系统硬件设计的计算机语言, 它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式, 与传统的门级描述方式相比, 它更适合大规模电子系统的设计。硬件描述语言可以在3个层次上进行电路描述, 其层次由高到低分为行为级、R级和门电路级。常用硬件描述语言有WDL、Verilog和VHDL语言等。

2 EDA技术的设计方法

2.1 电路级设计

电路级设计工作流程如图1所示。电子工程师接受系统设计任务后首先确定设计方案, 同时要选择能实现该方案的合适元器件, 然后根据所选元器件设计原理图。接着进行第一次仿真, 包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。系统在进行仿真时, 必须要有元件模型库的支持, 计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后, 根据原理图产生的网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行后分析, 包括热分析、噪声及串扰分析、电磁兼容分析和可靠性分析等, 并且可以将分析后的结果参数反馈回原理图, 进行第二次仿真, 也称为后仿真, 后仿真主要是检验PCB板在实际工作环境中的可行性。

可见, EDA技术在电路级设计方面的应用使电子工程师在实际的电子系统产生之前, 就可以全面了解系统的功能特性和物理特性, 从而将开发过程中出现的缺陷消灭在设计阶段, 既缩短了开发时间, 也降低了开发成本。

2.2 系统级设计

系统级设计工作流程如图2所示。系统级设计是一种“概念驱动式”设计, 设计人员无须通过门级原理图描述电路, 而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚, 设计人员可以把精力集中于创造性概念构思与方案上, 一旦这些概念构思以高层次描述的形式输入计算机后, EDA系统就能以规则驱动的方式自动完成整个设计。

系统级设计的步骤如下:

第一步:按照“自顶向下”的设计方法进行系统划分。

第二步:输入VHDL代码, 这是系统级设计中最为普遍的输入方式。此外, 还可以采用图形输入方式 (框图、状态图等) , 这种输入方式具有直观、容易理解的优点。

第三步:将以上的设计输入编译成标准的VHDL文件。对于大型设计, 还要进行代码级的功能仿真, 主要是检验系统功能设计的正确性, 因为对于大型设计, 综合、适配要花费数小时, 在综合前对源代码仿真, 就可以大大减少设计重复的次数和时间, 一般情况下, 可略去这一仿真步骤。

第四步:利用综合器对VHDL源代码进行综合优化处理, 生成门级描述的网表, 这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的, 需要在相应的厂家综合库支持下才能完成。综合后, 可利用产生的网表文件进行适配前的时序仿真, 仿真过程不涉及具体器件的硬件特性, 较为粗略。

第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作, 包括底层器件配置、逻辑分割、逻辑优化和布局布线。

第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。如果是大批量产品开发, 通过更换相应的厂家综合库, 可以很容易转由ASIC形式实现。

3 结束语

21世纪是EDA技术的高速发展时期, EDA技术是现代电子系统设计技术的重要发展方向之一。随着集成电路技术的高速发展, 数字系统正朝着更高集成度、超微型化、高性能、高可靠性和低功耗的系统级芯片 (SoC, System on Chip) 方向发展, 借助于硬件描述语言的国际标准VHDL和强大的EDA工具, 可减少设计风险并缩短周期, 随着VHDL语言使用范围的日益扩大, 必将给硬件设计领域带来巨大的变革。

参考文献

[1]谭会生, 张昌凡.EDA技术及应用[M].西安:西安电子科技大学出版社, 2001.

[2]ALTERA公司.DATA BOOK[M].北京:清华大学出版社, 1998.

[3]ALTERA公司.ADHL语言[M].北京:清华大学出版社, 1998.

[4]刘宝琴.ALTERA可编程逻辑器件及其应用[M].北京:清华大学出版社, 1995.

[5]宋万杰, 罗丰, 吴顺君.CPLD技术及应用[M].西安:西安电子科技大学出版社, 1999.

EDA应用技术 篇2

可编程逻辑器件与集成电路设计

**

所在学院

理学院

专业班级

思源0901

09274027

指导教师

**

20**

**

可编程逻辑器件与集成电路设计

摘要:本文简述了集成电路的传统设计方法和现代设计方法,并对两者的区别进行了比较,以实例为基础介绍了集成电路的设计过程。对可编程逻辑器件的定义、分类、功能等进行详尽的阐述,介绍了可编程逻辑器件的设计过程,以可编程逻辑器件软件设计平台QuartusII为例,介绍了可编程逻辑器件软件设计平台的功能及使用方法,并对其他种类软件平台作出比较。对国内外集成电路设计公司作简要介绍,分析了PLD和FPGA芯片上的文字信息。

关键字:集成电路设计;可编程逻辑器件;软件设计平台;QuartusII;PLD;FPGA

1.集成电路的设计方法

1.1手工传统设计方法

传统的集成电路设计方法是指20世纪50-60年代的手工设计时代。

传统的集成电路设计方法的基本步骤是1.根据设计要求划分功能模块;2.确定输入和输出的关系,画出真值表,写出逻辑表达式;4.利用公式或卡诺图进行人工化简;5.根据化简后的逻辑表达式画出电路原理图;6.在面包板上进行实验,验证电路的正确性;7.若无错误,再在透明薄膜上用贴图符号贴PCB图;8.检查后送制板厂制板;9.对PCB板进行安装、调试,若有大的错误,修改设计,重复以上过程,重新制板。

传统集成电路设计方法的特点是采用自下而上(Bottom

Up)的设计方法,采用通用型逻辑器件搭积木式的方式,在系统硬件设计的后期进行仿真和调试,主要设计文件是电路原理图。

图1

1.2

EDA技术与现代设计方法

计算机出现后,人们可以借助计算机进行集成电路的辅助设计,这样就进入了20世纪70-80年代的CAD(计算机辅助)时代,利用计算机及其图形设备帮助集成电路设计人员进行设计工作,大大减少了手工设计时代的工作量,提高了集成电路的设计效率。到了80年代后期,CAD技术日渐成熟,集成电路的设计真正跨越到了EDA(电子设计自动化)的现代设计方法时代。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA技术为电子系统设计带来了很大的变化:设计效率提高,设计周期缩短;设计质量提高;设计成本降低;能更充分地发挥设计人员的创造性;设计成果的重用性大大提高,省去了不必要的重复劳动。

现代的数字系统设计采用PLD,利用EDA开发工具,通过芯片设计来实现系统功能。普遍使用自顶向下(Top—Down)的设计方法,这里的“顶”就是指系统的功能;“向下”就是指将系统由大到小、由粗到精进行分解,直至可用基本模块实现。自顶向下设计方法的一般过程大致上可以分为四步,如下图所示。

Top-Down设计图

明确系统功能

确定总体方案

子系统具体实现

系统仿真实现

图2

明确系统功能:对要设计的系统的任务、要求、原理以及使用环境等进行充分调研,进而明确设计目标、确定系统功能,是一件至关重要的事。因为只有把它做好了,后面的设计工作才有意义,才有效率。

确定总体方案:明确了设计目标、确定系统功能之后,接下来要做的工作就是根据系统功能确定出系统设计的总体方案。

系统具体实现:系统方案确定以后.再从结构上对系统进行逻辑划分,导出系统的结构框图。一般把系统从逻辑上划分为数据子系统和控制子系统两部分。然后,再将各自划分为多个子系统模块,各模块的输入、输出信号要明确,有利于团队工作。这些子系统就可以依据基础的数字设计确定具体电路实现。系统如果有控制算法也包括选择控制算法及实现。

系统仿真实现:系统设计完成之后,最好先采用EDA软什对所设计的系统进行仿真后再用具体器件搭电路.以保证系统设计的正确性和可靠性。电路实现时,一般按自底向上的顺序进行。这样做不仅行利于单个电路的调试,而且也利于整个系统的联调。

因此,严格地讲,现代数字系统的完整设计过程应该是“自顶向下设计。自底向上集成”。

1.3从传统到现代设计方法的区别

从传统设计方法到现代设计方法,集成电路设计技术有了长足的进步。传统的集成电路设计方法,从根据设计目标得到真值表,到最后完成系统后的测试与调试,所有的工作均需人工完成。可以想象,随着数字集成电路的发展,从小规模集成电路(SSI)的小于10个逻辑门,到中规模集成电路(MSI)的几百个逻辑门,再到大规模集成电路的(LSI)几万门,最后甚至到超大规模集成电路(VLSI),甚大规模集成电路(ULSI)的几十万、几百万门电路,集成电路迅速的大规模化,使得传统设计方法根本无法完成得到真值表画出卡诺图等工作。它表现出了效率低下,一切手工完成,设计周期很长;容易出错;芯片种类多,数量大,受市场的限制;设计灵活性差;产品体积大等缺点。同时后续的电路调试和设计也需要很高的电路布局和布线的技巧,总而言之,这样的设计对于复杂数字系统来讲效率太低了。

现代的设计方法,自顶向下,可以实现具体任务的分工完成,并且用软件的方式设计硬件,从软件设计的硬件系统到硬件系统的转换是由EDA软件自动完成的,设计过程中可用软件进行各种仿真,现场可编程,在线升级,而且整个系统集成在一块儿很小的芯片上,体积小,功耗低,可靠性高,容易检查错误,便于修改,设计周期短、成功率很高,这些优点都是传统方法无法比拟的,大大提高了集成电路设计的效率。

所以说从传统方法到现代方法,集成电路的设计有了一个很大的跨越。

表1

2.可编程逻辑器件

2.1可编程逻辑器件定义

可编程逻辑器件英文全称为:programmable

logic

device

PLD。PLD是做为一种通用集成电路产生的,他的逻辑功能按照用户对器件编程来确定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。这样就可以由设计人员自行编程而把一个数字系统“集成”在一片PLD上,而不必去请芯片制造厂商设计和制作专用的集成电路芯片了。

图3

PLD的基本结构如上图所示,图中与阵列和或阵列是PLD的主体。与阵列用以产生有关与项,或阵列把所有与项构成与或形式的逻辑函数。任何组合逻辑函数均可表示为与或表达式,因而用与门-或门两级电路可实现任何组合电路,又因为任何时序电路是由组合电路加上存储元件构成的,因而PLD的与或结构对实现数字电路具有普遍的意义。

2.2可编程逻辑器件分类及功能

可编程逻辑器件按集成度分类如下图。

图4

简单可编程逻辑器件有PROM、PLA、PAL、GAL。

PROM:与阵列固定,或阵列可编程的逻辑器件,最主要特征是只允许数据写入一次,如果数据输入错误只能报废。

PLA:

与或阵列均可编程,在可编程逻辑器件中,它的灵活性最高。由于它

具有与或阵列均能编程的特点,在实现函数时,只需形成所需的乘机项,使这列规模比输入数相同的与阵列固定、或阵列可编程的PROM小得多。但是目前PLA的编程缺少高质量的支持软件和编程工具,且器件价格偏高,门的利用率不高,因而未得到广泛应用。

PAL、GAL:与阵列可编程,或阵列固定的代表器件。这种结构中,或阵列固定若干个乘积项输出

。PAL和GAL门阵列结构把PROM器件的成本低、速度高、编程容易以及PLA器件的灵活性等优点结合在一起,成为早起实现可编程ASIC的主要器件

复杂可编程逻辑器件的两种主要类型是现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。

FPGA:提供了最高的逻辑密度、最丰富的特性和最高的性能。

现在最新的FPGA器件,可提供八百万“系统门”(相对逻辑密度)。

这些先进的器件还提供诸如内建的硬连线处理器、大容量存储器、时钟管理系统等特性,并支持多种最新的超快速器件至器件信号技术。

FPGA被应用于范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等。

CPLD:提供的逻辑资源少得多,最高约1万门。

但是,CPLD提供了非常好的可预测性,因此对于关键的控制应用非常理想。

而且CPLD器件需要的功耗极低。

2.3可编程逻辑器件设计过程

采用自顶向下(Top—Down)的设计方法确定各个子系统后,就需要借助于EDA软件进行系统设计实现。下图是基于EDA软件的PLD开发流程框图,由框图可见设计主要包括设计输入、设计处理、功能仿真和时序仿真、器件编程或下载和系统测试五个部分

FPGA

/

CPLD设计流程

设计准备

设计输入

Ø

图形输入

Ø

硬件描述语言HDL

设计处理

Ø

编译、优化综合Ø

适配、分割

Ø

布局、布线

器件编程(下载)

系统测试

时序仿真

功能仿真

HDL:

VHDL(IEEE)

Verilog

(IEEE)

AHDL

ABEL

EDA工具自动实现

对CPLD产生Pof文件

对FPGA产生Sof文件

图5

设计输入:采用原理图或硬件描述语言(HDL),描述出输入和输出的逻辑关系,将整个原理图或程序输入到计算机中。

设计处理:EDA工具可自动进行逻辑综合,将功能描述转换为门级描述,或转换成具体PLD的网表文件,将网表文件自动适配到具体芯片中进行布局布线。

功能仿真和时序仿真:在软件平台进行硬件仿真。

编程下载:到实际芯片中,在实验台上进行验证;

系统测试:测试成型系统,在每一阶段若有问题,可在计算机上直接修改设计,重复以上过程。

3.可编程逻辑器件软件设计平台

可编程逻辑器件的软件平台,都是由PLD/FPGA芯片厂家提供,基本都可以完成所有的设计输入(原理图或HDL),仿真,综合,布线,下载等工作。

3.1常用可编程逻辑器件软件设计平台比较

Altera公司:

QuartusII软件平台:

Quartus

II

是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

MaxplusII

E+MAX:免费PLD开发软件,界面与标准版的MaxplusII完全一样,只支持MAX7000和MAX3000系列器件,本身支持不复杂的VHDL和Verilog综合。

MaxplusII

Baseline:免费PLD开发软件,界面与标准版的MaxplusII完全一样,但需要通过使用MAX+PLUSII

Advanced

Synthsis插件才能支持VHDL/Verilog。支持MAX7000/3000和部分FLEX/ACEX芯片。

Xilinx公司

ISE软件平台: ISE是使用XILINX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。

WebFITTER:免费PLD开发软件,不需下载,可在线编译,结果用e-mail发送到信箱。使用简单,但要求较快的联网速度。支持XC9500

CoolRunner系列。

WebPACK

ISE:免费PLD开发软件,支持XC9500,coolrunner,Spartan/II,部分Virtex/E/II器件。

Lattice公司

ispDesignEXPERT:PLD开发软件,目前最新软件改名为:ispLEVER

ispLEVER

Starter:免费PLD开发软件,支持600个宏单元以下的Lattice芯片的设计。

3.2

QuartusII软件设计平台简介

QuartusII是美国Altera公司自行设计的第四代PLD开发软件可以完成PLD的设计输入、逻辑综合、布局与布线、仿真、时序分析、器件编程的全过程,同时还支持SOPC(可编程片上系统)设计开发。

QuartusⅡ提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。QuartusⅡ支持的器件有:Stratix

Ⅱ、Stratix

GX、Stratix、Mercury、MAX3000A、MAX

7000B、MAX

7000S、MAX

7000AE、MAX

Ⅱ、FLEX6000、FLEX10K、FLEX10KA、FLEX10KE、Cyclone、Cyclone

Ⅱ、APEX

Ⅱ、APEX20KC、APEX20KE和ACEX1K系列。QuartusⅡ软件包的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。

Quartus

II软件的设计过程主要包括:

1.建立项目;

2.输入设计电路;

3.设计编译;

4.设计仿真

5.设计下载

其中QuartusII的输入可以有三种方式,(1)原理图输入方式:适用于对系统及各部分电路很熟悉的场合。(2)硬件描述语言输入方式:硬件描述语言是用文本方式描述设计,硬件描述语言有ABEL、AHDL、VHDL、Verilog等,其中VHDL和Verilog已成为IEEE标准。(3)波形输入方式

当在Quartus

Ⅱ中完成设计后,就应当将所设计的电路下载到CPLD芯片中,结合用户系统进行统一的调试。CPLD编程下载的方式较多,按计算机的接口可分为:串口下载(BitBlaster或MasterBlaster)、并口下载(ByteBlaster)、USB接口下载(MasterBlaster或APU)等方式。按器件可分为:CPLD编程(MAX3000、MAX5000、MAX7000、MAX

9000),FPGA下载(FLEX6000、FLEX8000、FLEX

10K、ACEX

1K、APEX

20K),存储器编程EPC1、EPC2等。

4.集成电路设计公司简介

4.1国内集成电路设计公司介绍

大唐微电子技术有限公司:作为目前国内规模最大的集成电路设计企业之一,大唐微电子积累了丰富的集成电路设计经验。多年来,公司在移动通信智能卡领域中,凭借独具特色的产品与服务,引领了中国国内移动通信智能卡市场稳健、快速的发展。大唐微电子是目前全球智能卡领域中生产规模最大、产业链最完整、生产设备最先进的智能卡企业之一;是全球唯一一家能够同时在芯片级、模块级、卡片级向客户提供全方位产品、服务与解决方案的企业;也是国家指定的中国第二代居民身份证专用集成电路设计和模块加工企业。目前,公司模块年生产能力达4亿枚,智能卡年发行能力超过2亿张。

炬力集成电路设计有限公司:是一家致力于集成电路设计与制造的大型半导体技术集团,美国的纳斯达克上市公司,总部设在环境优美的海滨城市珠海,旗下拥有三家子公司——炬力集成电路设计有限公司、炬才微电子(深圳)有限公司、北京炬力北方微电子有限公司。公司目前共有700多人,其中本科以上研发人员占80%以

4.2国外集成电路设计公司介绍

Xilinx(赛灵思):是全球领先的可编程逻辑完整解决方案的供应商。Xilinx研发、制造并销售范围广泛的高级集成电路、软件设计工具以及作为预定义系统级功能的IP(Intellectual

Property)核。客户使用Xilinx及其合作伙伴的自动化软件工具和IP核对器件进行编程,从而完成特定的逻辑操作。Xilinx公司成立于

1984年,Xilinx首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于1985年首次推出商业化产品。目前Xilinx满足了全世界对

FPGA产品一半以上的需求。Xilinx产品线还包括复杂可编程逻辑器件(CPLD)。在某些控制应用方面CPLD通常比FPGA速度快,但其提供的逻辑资源较少。

Xlinx主要FPGA产品:Xilinx的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Spartan系列;还有一种侧重于高性能应用,容量大,性能能满足各类高端应用,如Virtex系列,用户可以根据自己实际应用要求进行选择。

在性能可以满足的情况下,优先选择低成本器件。

*

Spartan-3/3L:

新一代FPGA产品,结构与VirtexII类似,全球第一款90nm工艺FPGA,1.2v内核,于2003年开始陆续推出。

*

Spartan-3E:基于Spartan-3/3L,对性能和成本进一步优化

*

Spartan-6:xilinx最新推出的低成本FPGA

*

Virtex-II:2002年推出,0.15um工艺,1.5v内核,大规模高端FPGA产品

*

Virtex-II

pro:

基于VirtexII的结构,内部集成CPU和高速接口的FPGA产品

*

Virtex-4:

xilinx最新一代高端FPGA产品,包含三个子系列:LX,SX,FX

*

Virtex-5:65nm工艺的产品

*

Virtex-6:最新的高性能FPGA产品,45nm

*

Virtex-7:20**年推出的超高端FPGA产品。

Altera:自二十年前发明世界上第一个可编程逻辑器件开始,Altera公司秉承了创新的传统,是世界上“可编程芯片系统”(SOPC)解决方案倡导者。Altera结合带有软件工具的可编程逻辑技术、知识产权(IP)和技术服务,在世界范围内为14,000多个客户提供高质量的可编程解决方案。新产品系列将可编程逻辑的内在优势——灵活性、产品及时面市——和更高级性能以及集成化结合在一起,专为满足当今大范围的系统需求而开发设计。

Altera可编程解决方案包括:业内最先进的FPGA、CPLD和结构化ASIC技术;全面内嵌的软件开发工具;最佳的IP内核;可定制嵌入式处理器;现成的开发包;专家设计服务。

Altera主要FPGA产品:Altera的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Cyclone,CycloneII;还有一种侧重于高性能应用,容量大,性能能满足各类高端应用,如Startix,StratixII等,用户可以根据自己实际应用要求进行选择。在性能可以满足的情况下,优先选择低成本器件。

*

Cyclone(飓风):Altera中等规模FPGA,2003年推出,0.13um工艺,1.5v内核供电,与Stratix结构类似,是一种低成本FPGA系列,是目前主流产品,其配置芯片也改用全新的产品。

*

CycloneII:Cyclone的下一代产品,2005年开始推出,90nm工艺,1.2v内核供电,属于低成本FPGA,性能和Cyclone相当,提供了硬件乘法器单元

*

Stratix

:altera大规模高端FPGA,2002年中期推出,0.13um工艺,1.5v内核供电。集成硬件乘加器,芯片内部结构比Altera以前的产品有很大变化。

*

StratixII:

Stratix的下一代产品,2004年中期推出,90nm工艺,1.2v内核供电,大容量高性能FPGA。

*StrtratixV为altera目前的高端产品,采用28-nm工艺,提供了28G的收发器件,适合高端的FPGA产品开发

5.PLD及FPGA片上文字信息分析

图6

如上图FPGA芯片,左上角XILINX文字为设计生产该芯片的公司名称,KINTEX-7为芯片型号,Kintex-7

系列是赛灵思公司一种新型

FPGA,能以不到

Virtex-6

系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。下边文字为此芯片的具体型号等,最下方TAIWAN为芯片的产地。

参考文献

【1】

侯建军

《数字电子技术基础》高等教育出版社,2007年

【2】

朱明程

《可编程逻辑器件及应用》

西安电子科技大学出版社,2004年

【3】

百度百科

《PLD》

《FPGA》

《Xilinx》

《Altera》

【4】

陈忠平、高金定、高见芳

《基于Quartus

II的FPGA/CPLD设计与实践》

电子工业出版社

EDA应用技术 篇3

[关键词]EDA 电子线路 仿真

《电子线路》是电子电工专业的一门专业基础课程,对其掌握的熟练程度直接影响到后续专业课程的学习,如《电子测量》、《电视机原理》等。要学好《电子线路》这门课,就必须进行大量的实验,在实验中体会课堂上的理论知识,从实验结果中总结和掌握知识。然而,现在许多职校实验器材的缺乏和设备的陈旧已远远跟不上学生学习需求。随着计算机的普及应用和电子技术的发展,利用电路设计和仿真的EDA工具软件Multisim7在计算机上方便、安全地进行电子线路的模拟试验和电路设计,作为课堂教学的补充可取得非常好的教学效果。EDA技术在教学中的应用,不但可以使学生对所学电路有了一定的感性认识,而且还能掌握各种仪器的使用,把理论与实践紧密地结合在一起。因此利用EDA仿真技术具备了使学生进行大量实验的条件,也是《电子线路》教学发展的方向。

(一)Multisim7软件的简介

目前,EDA技术是许多职业学校电类专业教学中不可缺少的一项技术,掌握EDA技术也是电类专业学就业的基本条件之一。常用于电子线路仿真和设计的EDA工具软件Multisim7以其独特的功能特点深受广大电路设计者和学习者的喜爱。它把整个学习和实验过程都搬到了虚拟实验室中进行,能够使实验电路,仪器以及实验结果等一起直观地展现在学生面前,另外对电路参数调整也极其方便。因此Multisim7在职业学校中得到了非常广泛的应用。其主要功能特点有:

1.具有丰富的元件库和类型齐全的测试仪器。

Multisim7提供了近万种电路元件,其中包括基本元件库(如电阻,电容等)、晶体管库、模拟元件库、电源库、集成电路、基本显示器件库、数字模拟混合库和其他元器件库等,并且还可以根据需要通过元件编辑器扩充已有的元器件库。Multisim7提供了一系列虚拟测试仪器,包括常用仪器如万用表、功率表、多踪示波器、信号发生器、数字万用表、频率计数器等,以及一般实验室中不可多得的各种专用高档仪器如逻辑分析仪、网络分析仪、频谱分析仪等。这些仪表的使用和读数与真实的仪表相当,仿佛就像在实验室中使用仪器一样,并能仿真到最真实的结果。

2.具有直观的图形界面和高效的仿真设备。

应用Multisim7软件进行仿真教学的操作简单、易学。它具有更加形象直观的人机交互界面,各类元器件和测试仪器均可直接从屏幕上选取,各种元器件能够通过键盘随时更改,仪器的控制面板和操作方式都与实物相似,可以实时显示测量结果。在电子电工实验中,经常会碰到由于实验器材出现故障而导致实验无法进行或所得测量数据不准确,耗费了不必要的时间和精力,失去了实验的意义。利用Multisim7支持的Spice、VHDL、Verilog仿真,以及任何这几种仿真的混合进行实验时,只要连接电路正确,学生几乎能够100%地快速、安全仿真和验证各种实验,从而提高了实验效率。

3.具有强大分析和设计电路的功能。

Multisim7提供了详细的电路分析方法,不仅可以完成电路的直流静态工作点分析、交流分析、瞬态分析、温度扫描分析、时域和频域分析、电路噪声分析和参数扫描分析等常用分析方法,而且还提供了傅里叶分析、交直流灵敏度分析等高级分析方法来帮助设计人员分析电路性能,大大缩短分析时间。电子设计是人们进行电子产品设计、开发、和制造过程中十分关键的一步,其核心就是电子电路的设计。Multisim7是一种自上而下的设计方法,设计者只要确定系统设计、功能划分和行为描述外,其余都有计算机自动完成,加快了产品开发的速度,提高了设计人员的工作效率。

4.具有与PCB设计软件有良好的衔接功能。

电子设计工具平台Electronics Workbench主要包括Multisim和Ultiboard两个基本工具模块,Multisim7可以把自己创建的电路原理图文件输出给Ultiboard系统进行印刷电路板的自动化设计。近年来出现了许多电路设计工具软件,如Protel 就是较为突出的一种PCB软件,Multisim7可以和此软件进行良好衔接,从而设计出高性能的多层电路板,并且能够迅速的把设计电路转化成实际产品。Multisim7还能将仿真结果输出到MathCAD和Exclel电子表格等应用程序当中去。

(二)电子线路中EDA技术的应用举例

射极输出器是电子线路中用途最广泛的电路之一,在学生通过射极输出器仿真实验之后,更能体会到射极输出器电路结构和特点。

1.分析射极输出器电压跟随性。

仿真实验图如图1设置信号源为1kHz,100mV的正弦波,万用表为电压档。运行仿真,调整Rp,观察万用表中三极管发射极电位变化,依次调节Rp百分比由80%变化到0,记录发射极电压的值,对应填入表1中。从表中数据得知当Rp的值变小,发射极电流变强,发射极电位略有上升,但跟基极电位相差不大,具有电压跟随性。再对双踪示波器中输入、输出电压波形、读数情况进行分析,发现两者波形幅度相同,频率一致,并且是同相位,可以看出,测试结果和理论总结具有良好的一致性。

2.稳定输出电压特性的分析。

将负载电阻R2接入时观察示波器的输出波形如图2,再将负载电阻R2断开时观察示波器的输出波形如图3,从示波器演示中可以看出,无论R2接入还是断开,输出波形的幅度、频率和相位都没有发生变化,这种现象表明:射极跟随器当负载发生变化时具有稳定输出电压的特性。与此同时,可再一次加深负反馈的概念,将理论和实践联系起来。

3.放大器输入、输出电阻的测量。

(1)测量输入电阻ri: 测量输入电阻的方法很多,通常用“换算法”、“替代法”等,这些测量方法较复杂,Multisim7可以通过放大器等效电阻的定义如图4进行测量,方法简单,理解容易。

结论:得出测量结果与理论值相似,但方法更直接,易于理解和掌握。

(2)测量输出电阻ro:如图 5所示,用“替代法”计算ro的值。在负载电阻R2接上试运行仿真,得到UO1为69.784mV,断开R2后运行仿真,得到UO2值为70.237mV。

经过理论公式计算,得到实际值与理论值相似。

通过以上应用实例可见,Multisim7是一个非常好的电子线路仿真软件,而且对电子线路课程中的实验都能应用。真正让学生体会到了仿真实验的“方便、快捷、安全” 和融会贯通的特点,很好地培养了学生的动手能力和综合分析能力,并且使教师的教学水平上了一个新的台阶。

参考文献:

[1]李良荣,罗伟雄.现代电子技术——基于Multisim7[M].北京:机械工业出版社,2004.

[2]陈其纯.电子线路[M].北京:高等教育出版社,2001.

浅谈EDA技术 篇4

为了解决这个问题, 要求我们必须采用新的设计方法和使用高层次的设计工具。在此情况下, EDA (Electronic Design Automation即电子设计自动化) 技术应运而生。

随着电子技术的发展及缩短电子系统设计周期的要求, EDA技术得到了迅猛发展。

什么是EDA技术呢?EDA技术是以大规模可编程逻辑器件为设计载体, 以硬件描述语言为系统逻辑描述的主要表达方式, 以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具, 通过有关的开发软件, 自动完成用软件的方法设计电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真, 直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作, 最终形成集成电子系统或专用集成芯片的一门新技术。

EDA技术涉及面广泛, 内容丰富, 主要有以下四个方面内容: (1) 大规模可编程逻辑器件; (2) 硬件描述语言; (3) 软件开发工具; (4) 实验开发系统。其中, 大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体;硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段;软件开发工具是利用E-DA技术进行电子系统设计的智能化、自动化设计工具;实验开发系统是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。

随着现代半导体的精密加工技术发展到深亚微米阶段, 基于大规模或超大规模集成电路技术的定制或半定制器件大量涌现并获得广泛的应用, 使整个电子技术与产品的面貌发生了深刻的变化, 极大地推动了社会信息化的发展进程。而支撑这一发展进程的主要基础之一, 就是EDA技术。

EDA软件

EDA技术在硬件方面融合了大规模集成电路制造技术, IC版图设计技术、ASIC测试和封装技术、CPLD/FPGA技术等;在现代电子学方面则容纳了更多的内容, 如数字系统设计理论、数字信号处理技术、系统建模和优化技术等。

EDA软件在EDA技术应用中占据极其重要的地位, EDA的核心是利用计算机实现电路设计的自动化, 因此基于计算机环境下的EDA工具软件的支持是必不可少的。

基于EDA技术的数字系统层次化设计方法

EDA技术的出现使数字系统的分析与设计方法发生了根本的变化, 采用的基本设计方法主要有三种:直接设计、自顶向下Top-to-Down) 设计、自底向上 (Buttom-to-Up) 设计。直接设计就是将设计看成一个整体, 将其设计成为一个单电路模块, 它适合小型简单的设计。而一些功能较复杂的大型数字逻辑系统设计适合自顶向下或自底向上的设计方法。自顶向下的设计方法就是从设计的总体要求入手, 自顶向下地将设计划分为不同的功能子模块, 每个模块完成特定的功能, 这种设计方法首先确定顶层模块的设计, 再进行子模块的详细设计, 而在子模块的设计中可以调用库中已有的模块或设计过程中保留下来的实例。自底向上的设计方法与自顶向下的设计方法恰恰相反。

在数字系统的EDA设计中往往采用层次化的设计方法, 分模块、分层次地进行设计描述。描述系统总功能的设计为顶层设计, 描述系统中较小单元的设计为底层设计。整个设计过程可理解为从硬件的顶层抽象描述向最底层结构描述的一系列转换过程, 直到最后得到可实现的硬件单元描述为止。层次化设计方法比较自由, 既可采用自顶向下的设计也可采用自底向上设计, 可在任何层次使用原理图输入和硬件描述语言HDL设计。

现代数字系统的设计方法一般都是自顶向下 (Top-to-Down) 的层次化设计方法, 即从整个系统的整体要求出发, 自上而下地逐步将系统设计内容细化, 即把整个系统分割为若干功能模块, 最后完成整个系统的设计。

在电子设计领域, 自顶向下的层次化设计方法, 只有在EDA技术得到快速发展和成熟应用的今天才成为可能, 自顶向下的层次化设计方法的有效应用必须基于功能强大的EDA工具, 具备集系统描述、行为描述和结构描述功能为一体的硬件描述语言HDL, 以及先进的ASIC制造工艺和CPLD/FPGA开发技术当今, 自顶向下的层次化设计方法已经是E-DA技术的首选设计方法, 是CPLD/FPGA开发的主要设计手段。

EDA技术为现代数字系统理论和设计的表达与应用提供了可能性, 它已不是某一学科的分支, 而是一门综合性学科。EDA技术打破了计算机软件与硬件间的壁垒, 使计算机的软件技术与硬件实现、设计效率和产品性能合二为一, 它代表了数字电子设计技术和应用技术的发展方向。

EDA应用技术 篇5

IBM system office room 【A0816H-A0912AAAHH-GX8Q8-GNTHHJ8】

EDA 技术及应用

实验报告

所在学院:

业:

级:

号:

名:

指导老师:

期:

实验一

八位全加器

名:

号:

班 级:

指导老师:

期:

一、实验目的

1. 了解四位全加器的工作原理

2. 熟悉元件例化原理

3. 掌握基本组合逻辑电路的 FPGA 实现

4. 熟练应用 Quartus II 进行 FPGA 开发

二、实验内容

本实验要完成的任务是设计一个四位二进制加法器。具体的实验过程就是利用EDA/SOPC 实验箱上的拨档开关的 K1~K4 作为一个 X 输入,K5~K8 作为另一个 Y 码输入,用 LED 模块的 LED1_5~LED1_8 来作为结果 S 输出,用 LED1_1 来作为结果的进位输出,LED 亮表示输出 1 灭表示输出 0。用元件例化的方法编写八位的全加器。

三、管脚绑定的具体说明。

A7~58,A6~57,A5~56,A4~55,A3~54,A2~53,A1~50,A0~49

B7~66,B6~65,B5~64,B4~63,B3~62,B2~61,B1~60,B0~59

SUM7~98,SUM6~99,SUM5~100,SUM4~101

SUM3~102,SUM2~103,SUM1~104,SUM0~105

COUT~106

四、实验中遇到的问题及解决方法。

由于是第一次实验,对仿真软件很不熟悉。本实验用到了元件例化,要将四位全加器的.VHD 文件复制到八位全加器的文件夹里。最开始的时候不知道这一点,所以八位全加器在运行是出错。通过老师的帮助知道了应该如何正确的操作,完成了实验。

五、实验心得。

第一次上机实验让我学会了如何使用 Quartus II 仿真软件,这个软件和以前用到的软件都不一样,它在计算机上完成管脚的绑定,然后通过下载线下载到芯片上就可以实现需要的功能。通过这次实验,也让我对元件例化有了更好的了解。基本掌握了全加器的工作原理,对 VHDL 编程语言有了更深入的理解。

实验二

名:

号:

班 级:

指导老师:

期:

一、实验目的

1.了解数字秒表的工作原理

2.进一步熟悉用 VHDL 语言编写驱动七段码管显示的代码

3.掌握 VHDL 编写中的一些小技巧

二、实验内容:

本实验的任务就是设计一个秒表,系统时钟选择时钟模块的 1MHz,由于计时时钟信号为100Hz ,因此需要对系统时钟进行 10000 分频才能得到,因为七段码管需要扫描显示,本实验选择 1MHz。另外为了控制方便,需要一个复位开关,使能计时按键,分别使用拨档开关 K1,K2,拨动 K1 系统复位,所有寄存器全部清零。拨动 K2 秒表启动计时;如果再次拨动 K2,秒表停止计时,除非拨动 K1,系统才能复位,显示全部为 00-00-00。

三、管脚绑定

CLK~28,K1~58, K2~57,~K3~56

A~21 , B~23,C~24,D~37,E~38,F~39,G~41,DP~42,SEL0~43,SEL1~44,SEL2~45

四、实验中遇到的问题及解决方法

这次实验设计的是数字秒表,要求显示的是 hh-mm-ss。当把程序全部无误输入后,绑定管脚后下载到芯片得出的结果却是反的,表示秒的跑到了最左边呈现的是 ss-mm-hh,与预期的结果正好相反。经过自己的思考加上同学的指点发现是程序中七段码管扫描读取数值那段程序的顺序出现了问题,修改了之后就能像预期那样实现时分秒了。

五、实验心得

本次实验做的是秒表,主要使我知道了七段码管显示的代码表示,让我重新复习了数电所学过的七段码管的每一个数码管对应的数字位。本次实验让我对分频有了很好的了解,对 VHDL 编写有了一些自己的认识,也从中学习了不少编写 VHDL 程序的小技巧,尤其是对七段码管显示部分有了深入的理解。

实验三

名:

号:

班 级:

指导老师:

期:

一、实验目的

1.在掌握可控脉冲发生器的基础上了解正负脉宽数调制信号发生的原理

2.熟练的运用示波器观察试验箱上的探测点波形

3.掌握时序电路设计的基本思想

二、实验内容:

本实验的任务是设计一个正负脉宽数控调制信号发生器。要求能够输出正负脉宽数控的脉冲波,正脉冲调制的脉冲波和负脉冲调制的脉冲波。试验中的时钟信号选择模块的 1MHz信号。用拨档开关 K1~K8 作为正脉冲脉宽的输入,用 S1~S8 作为负脉冲脉宽的输入,可在 Quartus II 中查看仿真图,或查看时序仿真图。

三、管脚绑定

CLK~28,POUT~98

A0~58,A1~57,A2~56,A3~55,A4~54,A5~53,A6~50,A7~49

B0~66,B1~65,B2~64,B3~63,B4~62,B5~61,B6~60,B7~59

四、实验中遇到的问题及解决方法

本次实验没有用到试验箱,而是直接在 Quartus 上查看时序仿真图来实现的,遇到了一些新的没有用过的功能。在使用过程中经常出错,在保存时序仿真是没有注意到后缀为.vwf,实验中漏洞百出,经过细心的同学帮我检查才得以成功。

五、实验心得

本次实验是正负脉宽数控调制信号发生器,使用的是直接在 Quartus 上进行时序仿真,这次实验使我学会了查看时序仿真图,知道了如何将输入由二进制改为其他进制如十进制。对 Quartus 这个软件有了更深刻的认识。

实验四

名:

号:

班 级:

指导老师:

期:

一、实验目的

1.了解频率计的工作原理

2.体会 FGPA 在数字系统设计方面的灵活性

3.掌握 VHDL 在测量模块方面的技巧

二、实验内容

本实验要完成的任务就是设计一个频率计,系统时钟选择试验箱时钟模块的 1KHz 时钟,闸门时间为 1s,在闸门为高电平期间,对输入的频率计进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过两秒刷新一次。频率计的输入从实验箱的观察模块的探针输入。

三、管脚绑定

CLK~28,FIN~152

A~21,B~23,C~24,D~37,E~38,F~39,G~41,DP~42,SEL0~43,SEL1~44,SEL2~45

四、实验中遇到的问题及解决方法

频率计的输入模块从实验箱的观察模块的探针输入,由于不知道这一点,在连接完管脚下载好程序以后,试验箱上的七段码管并没有显示示数,以为是程序和管脚的问题,最后才知道要通过一条导线将输入炼连入电路中。

五、实验心得

本次实验做的是频率计的设计,首先通过这次实验让我了解了频率计的工作原理,复习了之前用到过的对系统时钟进行分频,这次的实验与之前相比难度较小,从程序到管脚绑定都相对比较容易,操作性强,让我体会到了 FPGA 在数字系统设计方面的灵活性。

实验五

名:

号:

班 级:

指导老师:

期:

一、实验目的1.了解交通灯的亮灭规律

2.了解交通灯控制器的工作原理

3.熟悉 VHDL 语言编程,了解实际设计中的优化方案

二、实验内容:

本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁要求为2Hz,七段码管的时间显示为 1Hz 脉冲,即每一秒递减一次,在显示时间小于三秒是,通车方向的黄灯以 2Hz 的频率闪烁。系统中用 S1 进行复位。

三、管脚绑定

CLK~28,RST~58,R1~20,Y1~19,G1~18,R2~17,Y2~16,G2~15,A~21,B~23,C~24,D~37,E~38,F~39,G~41,DP~42,SEL0~43,SEL1~44,SEL~45

四、实验中遇到的问题及解决方法

因为有了之前四次实验的经验,这次实验做的比较顺利,就是有一点没有注意,那就是将属性改为 passive,没有改的时候下载过程中就会出现错误,在我及时的发现并改正后,交通灯就顺利的开始工作了。

五、实验心得

EDA技术实验教学改革探讨 篇6

关键词:EDA;SignalTap II;生产实例;创新实验

TN02-4

《电子设计自动化(EDA)技术》是电子科学与技术、电子信息工程、信息对抗技术、自动化、光信息科学与技术、信息显示与光电技术、生物医学工程、微电子学、集成电路设计与集成系统等电类专业基础主干课程。该课程开设的目的是使学生掌握采用硬件描述语言、借助EDA工具进行电子电路设计的方法。该课的实践性极强,实验环节在整个教学中占的比重很大,对培养学生动手能力和电路设计知识的综合运用能力能起到较强的作用,学生普遍反映该课的开设对就业有较大帮助,被誉为“饭碗”课程。

一、与多门课程相结合

目前《EDA技术实验》课程的实验项目往往只是专门针对于《EDA技术》课程,很少与其他课程联系在一起的项目。EDA技术的应用领域是非常广泛的,在电子、通信、医疗、军事、机械以及生物等领域都有应用。如果实验项目中没有与这些领域相关课程联系在一起的项目,会导致学生学了这门技术却不知道如何应用。因此,将《EDA技术实验》课程与多门课程相结合,有利于学生将EDA技术应用于各个领域。可以与以下课程相结合:

1.与《单片机技术》课程结合,如利用FPGA和单片机实现DDS信号发生器;

2.与《通信原理》课程结合,如2FSK(二进制频移键控)的FPGA实现、帧同步的实现等通信有关的简单算法实验;

3.与《数字信号处理》课程结合,如利用FPGA实现FFT(快速傅里叶变换);

4.与《嵌入式系统》课程结合,设计FPGA与ARM芯片协同工作的项目,以达到速度、面积等各方面的性能需求。

二、针对不同的专业,增加与专业相关的特色实验项目

《EDA技术实验》课程是强电类专业(如自动化专业、电气工程专业)和弱电类专业(如电子信息工程、通信工程专业)学生必修的一门实验课,但是目前该课程不同专业的实验项目却完全一样,没有针对不同的专业而开设一些特色的实验项目。有的学校这门课的实验项目没有一个是针对强电类的实验项目,导致强电类的学生认为这门课对他们不重要,无法将这门技术应用于自己的专业,不利于应用型人才的培养。因此,可以增加与专业相关的特色实验项目,比如对于通信工程专业,可以增加利用VHDL实现QPSK(四相相移键控)、伪随机序列以及直接序列通信系统的设计,对于电子信息工程专业,可以增加信号发生器、电子元件测量仪以及多路数据采集系统等的设计,对于电气工程及其自动化专业,可以增加利用FPGA控制步进电机等内容。

三、引入Nios、Multisim设计内容,利用SignalTap II逻辑分析仪

目前《EDA技术实验》课程的实验项目大多都是利用Quartus II软件的基本功能实现,手段太单一,学生只掌握了Quartus II软件的基本操作。事实上,Quartus II软件的功能十分强大,可以与Nios、Multisim结合,完成目前最热门的技术—SOPC嵌入式系统的设计,还可以在没有逻辑分析仪的情况下,利用SignalTap II完成电路逻辑分析。而手段单一的实验项目,导致学生无法掌握Quartus II软件的这些非常有用的功能。因此,可以增加基于SOPC的Nios实验,并利用Multisim对电路进行仿真,利用SignalTap II对硬件进行逻辑分析,以达到设计要求。基于Nios的嵌入式系统设计近年来是EDA技术的热门方向之一,有必要让学生了解基于Nios的FPGA系统设计,Multisim有着强大的电路仿真功能,是电路设计不可或缺的利器,而SignalTap II是在没有逻辑分析仪的实验环境下最好的硬件逻辑分析工具。

四、 引入生产实际应用实例

《EDA技术实验》课程实验教学内容没有或较少向生产应用延伸,当学生走出校门,面对社会实践,无从下手,缺乏把所学知识应用于社会实践的能力,不能很快地为生产实际服务。因此,可以增加生产实际应用项目和相关研究新进展,培养 “专业技术精、实践能力强”的应用型人才。如引入基于FPGA的出租车计费器、交通灯控制系统、洗衣机控制器、波形发生器、频率测量仪等等的设计项目。

五、增加开设综合创新性实验

由于目前部分EDA技术实验指导书的内容过于详尽,学生只要按照指导书步骤就能得到实验结果,使的实验演变成输入程序连接导线的“机械性实验”,难于调动学生对实验的积极性,不利于活跃学生思维,使的学生缺乏独立分析问题、解决问题的能力,难于提高实践动手能力。因此这样的实验很难发挥学生学习的主动性和能动性,阻碍了学生创新思维的发挥和实践能力的提高。因此,可以增加开设综合创新性实验,如数字电子钟、电子万年历、智能密码锁、数字化语音存储与回放系统、以及数字幅频均衡功率放大器等等。根据EDA技术主要面向工程实际的特点和电子设计的自主创新性,在实验过程中注重学生自主设计能力和创新能力的培养,以及与工程实际相结合的动手能力的培养。

六、结语

通过对EDA技术实验课程的教学改革与实践,改善目前EDA技术实验课程中存在的一些问题,从而提高EDA技术实验课程的教学效果,提高学生的实践动手能力,促进学生所学知识与生产实际紧密结合,使学生成为名符其实的应用型人才。

参考文献:

[1]潘松,黄继业.EDA技术实用教程[M].3版.北京:科学出版社,2006.

[2]张波.“EDA技术”课程的创新性实验教学[J].实验室科学,2013,16(4):71-73.

[3]譚菊.项目驱动模式下EDA技术课程改革探索[J].教育教学论坛,2014(9):186.

[4]吕晓兰, 左敬龙. 应用型本科院校EDA课程实验教学改革探索 [J].中国电力教育,2013,34:147-149.

[5]金宁治,李文娟,高晗璎,周美兰. 电气专业“EDA技术”课程实验教学的改革[J].电气电子学报,2015,37(4):86-88.

作者简介:

EDA技术的应用及发展 篇7

1 EDA技术

EDA是电子设计自动化 (Electronics Design Automation) 的缩写, EDA技术是指以计算机为工作平台, 融合了应用电子技术、计算机技术、信息处理技术及智能化技术的最新成果, 进行电子产品的自动设计。

EDA技术的发展经历了三个阶段: (1) 20世纪70年代, 交互设计, 解决晶体管级版图设计及PCB布局布线; (2) 20世纪80年代初, 功能包括逻辑图设计输入、仿真、自动布局布线和难, 设计层次从版图级上升到原理图级, 设计效率提高了10倍以上次; (3) 20世纪80年代后期, 增加了VHDL硬件描述语言输入、仿真和逻辑功能综合 (RTL级) , EDA技术从电路级上升到系统设计。

随着系统变得复杂和庞大, EDA系统设计工具的出现为系统设计师们提供了优越的环境和有力的保障。EDA技术与传统电子设计相比在产品设计理念、设计方式、系统硬件构成和知识产权等方面更具优势。图1对EDA设计与传统设计流程进行比较。

图1传统电子设计与EDA设计流程的比较

EDA系统设计采用的是自上而下的设计方法, 符合设计人员的设计思路, 从功能描述开始, 到物理实现。系统设计者逐步从使用硬件转向设计硬件、从单个电子产品开发转向系统电子产品开发。EDA技术集设计、仿真、测试于一体, 配置了系统设计自动化的全部工具。系统设计者可以利用EDA工具在计算机上自动完成电子产品的电路设计、性能分析到设计印制电路板的整个过程。

传统电子设计采用自下向上的设计方法, 设计者利用现有的IC通用器件构成系统最底层的电路模块, 然后根据主系统的要求, 组合成更大的功能块, 自下而上组合成一个新的系统, 直至完成整个目标系统的设计。相对复杂的电路系统的原理图可能是有几千张甚至上万张, 这么多的原理图使阅读、修改和使用极为不方便。

2 EDA技术的应用

随着电子技术的发展, 电子产品市场的需求, 设计人员不断尝试新的EDA工具及解决方案。现在对EDA的概念或范畴用得很宽, EDA技术应于机械、通信、电子、航天、矿产等各个领域。

2.1 教学方面

电子技术的飞速发展, 需要大量新技术和新设备的投入, 同样需要掌握这些新技术的人才。几乎所有的高校电类专业都开设了EDA课程, 让学生了解EDA技术的基本概念及原理, 掌握HDL语言编写规范, 掌握逻辑综合的理论及算法, 能够从事简单电子系统的设计。学校通过EDA实验教学, 加快了EDA人才培训的速度, 建立了EDA技术人才基础, 并为学生今后从事相关专业的工作奠定基础。

2.2 在科学研究和新产品开发方面

利用电路设计和仿真技术, 利用虚拟仪器对产品测试, 将CPLD和FPGA器件的开发应用到仪器设备中, 有利于早期发现结构设计上的错误, 避免设计工作的浪费, 提高了设计效率和灵活性, 缩短了产品的开发周期和提前产品的上市时间从而使产品占据市场竞争优势。

2.3 产品设计与制造方面

利用EDA工具大量的电子系统设计工作可以通过计算机完成, 并可以将电子产品从电路设计、系统极模拟及测试环境的仿真到设计出IC版图或PCB版图的事个过程在计算机上处理完成, 而且在电子设备的研制与生产、PCB的制作、电路焊接等等方面有着重要的作用。

3 EDA技术的发展趋势

随着半导体技术、集成技术和计算机技术的迅猛发展, EDA技术给电子系统设计带来了革命性的变化。EDA工具及技术的进步与电子及半导体产业快速发展息息相关, 目前, EDA产业正面临一个关键的转折点, 必须随着客户、电子及IC设计产业的需求而进行调整、改变与创新。

3.1 IP技术

IP (Intellectual Property) 即知识产权产品, 在IC设计中, IP是指可以通过知识产权贸易在国际上各设计公司之间流通的完成特定功能的电路模块, IP作为设计者或设计单位的设计成果, 应用于不同的产品设计中, 做到成果的再利用。一般来说IP内核的生命周期要比IC的工艺周期长的多, IP复用技术的出现极大推动了SOC的开发, SOC也越来越依赖于IP复用技术。

3.2 适应市场趋势, 专注技术创新

随着半导体工艺进入纳米时代, 设计公司面临许多设计挑战, 包括信号完整性、可制造性设计、时序收敛及低能耗设计, 设计公司寻求新的EDA设计工具应对当今市场的激烈竞争。根据市场需求, EDA技术创新的重点放在系统验证及DFM两大领域。

3.3 加强客户合作, 共赢

EDA供应商不应只提供EDA技术的工具, 应该与其客户建立真正的伙伴关系, 理解客户具体的垂直应用市场设计所面临的挑战, 与客户共同解决这些设计问题, 降低设计的风险, 成为提高客户生产率的得力助手。

3.4 我国EDA技术的发展

随着我国半导体市场的迅速发展, 政府重视EDA产业, 积极招商引资, EDA市场已经逐渐成熟。EDA软件开发方面, 主要集中在美国, 日本、韩国都有ASIC设计工具, 但不对外开放, 各国也在努力开发相应的工具。中国也有IC设计软件, 但功能不强。我国先后建立了同所设计中心, 推动设计活动以应对亚太地区其他EDA市场竞争。

随着EDA技术的发展, EDA技术的广泛应用, 电子产品的更新日新月异, EDA技术已成为现代电子设计的核心。我国的EDA市场日趋成熟, 电子从业人员掌握及普通EDA技术, 将对我国电子技术的发展具有深远意义。

摘要:EDA技术给电子系统设计和生产带来了革命性的变化, 本文介绍了EDA技术的发展史, 将EDA系统设计方法与传统电子设计方法进行比较, 分析了EDA技术应用及未来的发展趋势。

关键词:EDA技术,应用,发展趋势

参考文献

[1]曹昕燕, 于红莉.电子设计的新方法-EDA技术, 长春大学学报, 2004

EDA技术的发展与应用 篇8

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

2 EDA技术的发展

回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。

二十世纪七十年代为CAD阶段,这一阶段人们开始用计算机辅助进行IC版图编辑和PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

二十世纪八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计,这就是计算机辅助工程的概念。CAE的主要功能是:原理图输入、逻辑仿真、电路分析、自动布局布线、PCB后分析。

3 ESDA技术的基本特征

ESDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。下面介绍与ESDA基本特征有关的几个概念。

3.1“自顶向下”的设计方法

10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom-Up)地构造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。高层次设计给我们提供了一种“自顶向下”(Top-Down)的全新的设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。

3.2 ASIC设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。ASIC按照设计方法的不同可分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程逻辑器件)。

设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。

3.3 硬件描述语言

硬件描述语言(HDL-Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输入500至1000个门,而利用VHDL语言只需要书写一行A=B+C即可,而且VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABEL-HDL、AHDL,是由不同的EDA厂商开发的,互相不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上缺陷,1985年美国国防部正式推出了VHDL(VeryHigh Speed IC Hardware Description Language)语言,1987年IEEE采纳VHDL为硬件描述语言标准(IEEE STD-1076)。

VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

3.4 系统框架结构

EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范,目前主要的EDA系统都建立了框架结构,如Cadence公司的Design Framework,Mentor公司的Falcon Framework等,这些框架结构都遵守国际CFI组织(CAD Framework Initiative)制定的统一技术标准。Framework能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间在整个产品开发过程中实现信息的传输与共享,这是并行工程和Top-Down设计方法的实现基础。

4 EDA技术的基本设计方法

EDA技术的每一次进步,都引起了设计层次上的一个飞跃。物理级设计主要指IC版图设计,一般由半导体厂家完成,对电子工程师没有太大的意义,因此这里重点介绍电路级设计和系统级设计。

4.1 电路级设计

电子工程师接受系统设计任务后,首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析、瞬态分析。系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。

仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面地了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。

4.2 系统级设计

进入90年代以来,电子信息类产品的开发出现了两个明显的特点:一是产品的复杂程度加深,二是产品的上市时限紧迫。然而电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的,显然这种设计方法不能适应新的形势,为此引入了一种高层次的电子设计方法,也称为系统级的设计方法。

高层次设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。这样,新的概念得以迅速有效的成为产品,大大缩短了产品的研制周期。不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化的网表,工艺转化变得轻松容易。

5 结束语

EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA工具问世,我国EDA技术的应用水平长期落后于发达国家,因此,广大电子工程人员应该尽早掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竟争与发展的需要。

摘要:EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA工具问世,我国EDA技术的应用水平长期落后于发达国家,因此,广大电子工程人员应该尽早掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竟争与发展的需要。

EDA应用技术 篇9

随着微电子技术和计算机技术的飞速发展, 现代电子系统的设计和应用已进入一个全新的阶段。基于EDA技术的现代电子系统设计正逐步取代人工设计方法, 传统的“固定功能模块+连线”的设计方法已逐步退出历史舞台, 而基于芯片的设计现已成为电子系统设计的主流[1,2]。可编程逻辑器件和EDA技术的结合使得电子系统设计变得更加方便、快速、灵活。因此, 掌握EDA技术是电子信息类学生的一项重要设计手段。然而, 《EDA技术与应用》课程是一门实践性很强的课程, 它既要求学生有一定的硬件知识, 还要求会用VHDL语言编程实现具体功能, 特别是要有一定的工程实践能力。在实际教学中, 由于教学条件和学生基础等方面的约束[3], 使得该课程的教学效果一直都不理想。而且原来的教课方式主要是教师讲授、学生被动接受[4], 学完一门课, 仍不能很快地进行EDA产品的开发, 造成知识和实际工程应用的脱节。因此, 急需对当前这种学与用脱节的情况进行分析, 并采取相应的措施。本文针对《EDA技术与应用》课程的教学方法和教学模式进行了研究, 提出项目化教学模式, 试图通过“项目化”的教学模式使学生在学习EDA技术的过程中能主动参与教学过程, 理论联系实际, 使学生在完成项目的过程中有成就感, 其专业能力、方法能力和社会能力也得以提升。

1 项目化教学模式的设计过程

采用项目导向的项目化教学是以能力为本位、以职业实践为主线、以项目课程为主体的一种新型教学模式。笔者在《EDA技术与应用》课程中采用了项目化教学, 通过一个完整的项目将整个课程贯穿, 把项目分成若干个子项目, 采用循序渐进、逐渐深入的方式一步步完成整个项目。项目化教学有利于“工学结合”, 有利于提高学生的实践能力、协调能力和创新能力。

1.1 选取合适的项目

进行“项目化教学”就是选取一个合适的项目贯穿整个课程的教学过程。选取项目应遵循以下几个原则:一是, 所选取的项目必须具有很强的实用价值;二是, 该项目要覆盖《EDA技术与应用》课程的核心知识点;三是, 易操作性。所选的项目要易于学生实践和设计, 能够在现有的实验环境和实验条件下对项目的各项功能进行验证。根据以上这三点原则, 笔者选取了《电子秒表设计》这个项目。《电子秒表设计》实现起来较为简单, 便于进行模块化设计, 而且能够全面覆盖《EDA技术与应用》课程的核心知识点。而且该项目有利于学生分组实现, 能培养学生的团队协作能力和自学能力。另外, 本课程应用的是美国赛灵思 (Xilinx) 公司的FPGA开发板 (Basys2) [5], 板子上装有四个数码管, 可用于实现电子秒表的实现。

1.2 对项目进行分解

确定《电子秒表设计》作为贯穿该课程的项目后, 就要对该项目进行分解。应从该项目的需求入手, 分析电子秒表需要显示几位数字, 精度要求到小数点后几位。因为FPGA开发板上只有四个数码管, 所以只能显示到小数点之后两位, 即0.01秒。最大能显示到59.99秒。因此需要产生一个100Hz的时钟信号。另外需要有计数器模块、控制模块、译码器模块。由于FPGA开发板上自带的时钟是50MHz, 所以需要一个分频器模块, 产生一个100Hz的时钟, 还需分出一个1000Hz的扫描时钟。

2 EDA课程项目化教学的实施过程

2.1 分组完成项目化教学

在教学过程中, 因为所选取的项目都是一些具体的工程项目, 它所涉及的工作量都是比较庞大的, 所以为了使学生能更好地完成这些项目可将学生分成若干个小组 (每组四人) , 共同完成某一个项目的实践操作。在完成项目的过程中, 能够提高学生的团队协作能力、表达能力和自学能力。

2.2 先布置任务, 再对重要理论点讲解

在每次上课时, 先说明已进行到项目的哪个子项目, 布置本次课要完成哪些子任务, 以及需要提交的成果 (比如实验报告或源代码) , 每个子任务大概需要多少时间, 并明确考核方式。在学生做的过程中不断巡视, 适当地给予指导, 并对重要的理论点进行讲解, 最后由各组组长将成果提交给教师。这样既能激发学生不断思考和开拓创新的兴趣, 也可以有效地掌握学生实施项目的过程。如果授课教师将整个实验过程全部放任学生自行分析和探索, 则会导致相当一部分学生在学习过程中走过多的弯路, 最终很有可能会因为实验时间不够, 不能完成项目指定的任务。因此这种讲解重要理论点的方式很适合项目化教学模式。

2.3 有效的考核方式

在实际的EDA工程设计过程中, 项目组成员往往会围绕一个非常明确的设计目标进行设计与实现, 每一个分阶段目标的实现对他们而言是最好的鼓励。在实际的EDA课程教学中, 也应将一个项目分成若干个模块, 围绕这些模块进行实验, 学生能够在一个个模块实现的过程中得到极大的成就感。这将激励学生愿意继续完成后面的工作。因此通过设计一系列的模块, 能够起到很好的学习激励效果。在本次课程设计中, 笔者就将项目划分为六个模块, 分别是项目立项分析、需求分析与方案设计、显示控制模块的实现、译码器模块的实现、计数器和分频器模块的实现、系统集成与性能分析。

每做完一个模块, 由小组组长向全班同学演示, 由其他组的组长和教师打分, 每个学生按自己扮演的角色书写项目报告书, 教师根据每人的报告分别打分, 最后, 每人该模块的得分=组的成绩×50%+教师给每人报告打分×50%。一共有6个模块, 每个模块所占的权重是不一样的, 划分情况如表1所示:

3 结束语

项目化教学模式是对当前《EDA技术与应用》课程教学模式的一次大胆尝试。通过研究发现, 采用项目化教学模式, 学生的学习积极性会有很大提高, 有助于培养其团队协作能力和自学能力。本文研究了如何在《EDA技术与应用》课程中实施项目化教学, 并提出了有效的考核方式, 从而大大提高了《EDA技术与应用》课程的教学质量。

参考文献

[1]邹彦.EDA技术与数字系统设计[M].电子工业出版社, 2007, 04.

[2]詹仙宁, 田耘.VHDL开发精解与实例剖析[M].电子工业出版社, 2009, 09.

[3]胡桂, 张艳.EDA技术对实验教学的影响[J].高等教育研究, 2006 (03) :38-39.

[4]蒋冬初, 何飞.基于EDA技术的电子信息课程整合教学研究[J].高教论坛, 2009 (02) :29-32.

EDA应用技术 篇10

一、EDA技术的现状及走向

EDA工具是随着半导体工艺的每一次跃升而发展,进一步推动设计技术的发展。面对更低成本、更低功耗的无止境追求和越来越短的产品上市,IC设计产业发展迅速,但同时也遇到了越来越多的挑战,迫使工程师必须考虑选择更高效的EDA工具。一方面,随着工艺的发展,IC设计必须考虑详细的物理特性对设计时序、功能和可靠性的影响。另一方面,几百万门设计所导致的巨大数据迫使工程采用抽象形式或术语来描述设计。EDA工具必须具备对深亚微米技术的详细物理效应进行测试及验证的能力和提供抽象级设计能力,目前硅虚拟原型以及可测试性技术是EDA技术有几个重要的发展方向。所谓的硅虚拟原型(SVP,siliconvirtualprototype)是实现IC纳米级设计的一个关键技术,不仅能够快速反馈芯片性能并且能够得到功能完全正确和物理上可行的版图,提供有关时序、功耗和裸片尺寸的信息。而可测试性技术(DFT,design-for-test)为减少后期的测试投入及减短测试周期,将一些测试电路集成在电路设计中。在近年,可测试性技术受到广泛的关注,成了设计师的焦点。有些产品能够顺利检测出阻抗过孔和桥接故障,而通常这些功能需要使用大量的测试向量等。

二、EDA技术的要点

1、硬件描述语言

硬件描述语言(HDL)用软件编程的方式来描述电子系统的逻辑功能、电路结合和连接形式,是一种用于设计硬件电子系统的计算机语言,适合大规模系统的设计。1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL,可以克服不同的EDA厂商开发的产品互不兼容的问题,而且可以支持多层次设计,层次间翻译工作不再是人工操作。为了覆盖了以往各种硬件描述语言的功能,IEEE采纳VHDL为硬件描述语言标准,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,是一种全方位的硬件描述语言,还支持结构、数据流和行为三种描述形式的混合描述,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。另外,还有VHDL还具有四大优点:其一,VHDL移植性好;其二,VHDL的设计方便了工艺的转换;其三,VHDL在进行复杂控制逻辑的设计可应用简洁明确的代码描述;其四,VHDL将设计人员的工作重心提高到了系统功能的实现与调试。

2、ASIC设计

采用ASIC芯片进行设计,是解决目前电子系统集成电路的体积大、功耗大、可靠性差的问题。面对现代电子产品的复杂度日益提高,采用ASIC芯片可以分为全定制ASIC、半定制ASIC和可编程,在设计全定制ASIC芯片时,应当定义芯片上所有晶体管的几何图形和工艺规则,再由IC厂家去做出产品。这样就可能让芯片获得最优的性能,实现面积利用率高、速度快、功耗低的目标,但也要面对开发周期长,费用高等问题,因此,半定制ASIC芯片的版图设计方法最适用于大批量产品开发。

三、EDA技术在电子技术中应用

1、EDA技术在FPGA/CPLD开发中应用

FPGA和CPLD的设计流程中,应用EDA技术。利用EDA工具的文本或图形编辑器将设计者的设计意图表达出来,完成设计描述后可变成特定的文本格式,一般是通过编译器进行排错编译,为下一步的综合作准备。设计者可以选择最初的设计采用的输入形式,一般原理图输入方式是目前最受欢迎的方式,具有直观方便,容易掌握等优点,很容易为人接受。另外,还有HDL程序的文本方式是最一般化、最具普适性的输入方法,还具有通用的特

2、EDA技术在系统级设计中应用

系统级设计是一种高层次设计,也是“概念驱动式”设计。通过门级原理图描述电路是设计人员的必经之路。为了摆脱了电路细节的束缚,有效地针对设计日标进行功能描述,要求设计人员可以把精力集中于创造性的方案与概念的构思上,借助计算机,使EDA系统就能以规则驱动的方式自动完成整个设计。EDA技术应用于系统级设计流程如下:

3、EDA技术在电路级设计中应用

EDA技术在电路级设计中应用主要体现在电路级设计工作流程,如图1所示,接受系统设计任务后,电子工程师选择能实现该方案的合格元器件,确定设计方案再根据具体的元器件设计电路原理图。第一次仿真的内容有模拟电路的交直流分析、瞬态分析,数字电路的逻辑模拟、故障分析,元件模型库支持系统仿真,可以通过计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器,这样仿真有效检验设计方案在功能方面的正确性。接着,根据原理图产生的电气连接网络表进行PCB板的自动布局布线,进行包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析的PCB后分析,实现第二次仿真,确保PCB板在实际工作环境中的可行性。然后再制作PCB板,实现系统功能。因此,电路级的EDA技术可以全面地了解系统的功能特性和物理特性于实际的电子系统产生前,消除开发风险,从而缩短了开发时间,降低了开发成。

摘要:EDA技术是电子设计领域的一场革命,目前发现非常迅速。在电子技术的应用中,EDA技术完成电子系统设计中的大部分工作,是信息时代电子技术发展的迫切需要。本文通过介绍EDA技术的要点及其现状和走向,并对EDA技术在电子技术中应用进行探析。

关键词:EDA技术,电子技术,ASIC电路级,应用

参考文献

[1]任中华,袁慧梅.EDA技术及其应用[J].黑龙江交通科技,2004,(08).

[2]马智浩,高梅,李春祎.EDA在电子设计中的应用[J].今日科苑, 2007,(16).

[3]邓海.基EDA技术的现代电子设计[J].九江职业技术学院学报. 2006,(01).

EDA应用技术 篇11

关键词:EDA技术;教学改革;项目教学;互联网+

【中图分类号】G642.0; 文献标识码:A

一、引言

EDA(Electronic Design Automation,EDA,电子设计自动化)技术是一门发展迅速、工程性强、须紧密结合技术发展前沿的现代电子设计技术课程。它是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。目前《EDA技术》已成为各高校电子信息类专业的核心专业课程。本课程在专业培养目标中具有承上启下的桥梁作用,是引领学生进入现代电子设计领域的必修课程。

随着社会经济、科技的迅猛发展,高校的人才培养要求也随之发生了很大变化。2010年颁布的《国家中长期教育改革和发展规划纲要(2010~2020年)》也特别强调要通过“遵循教育规律和人才成长规律,深化教育教学改革,创新教育教学方法,探索多种培养方式,形成各类人才辈出、拔尖创新人才不断涌现的局面”,以及“注重学思结合,倡导启发式、探究式、讨论式、参与式教学,帮助学生学会学习,激发学生的好奇心,培养学生的兴趣爱好,营造独立思考、自由探索的良好环境”等。很多学者对高校人才培养的问题进行了深入分析并提出解决问题的思路 [1-3]。

二、教学内容改革

《EDA技术》课程是一门理论与实践并重,工程性、综合性、实践性很强的课程。该课程包括五大部分:第一部分主要以原理图输入方式为例介绍EDA的开发流程和软件操作过程;第二部分主要介绍采用VHDL语言进行EDA的开发,包括VHDL语言结构、常用组合逻辑电路和时序逻辑电路的设计;第三部分主要介绍利用宏功能模块进行程序的开发方法;第四部分介绍有限状态机的设计;第五部分是电子技术综合设计。

三、教学方法和手段的改革

学生是高校、教师、学生这三个人才培养的基本要素的中心,成为教学的主体[6]。为了提高学生分析问题、解决问题的能力,培养学生的团队合作能力和师范能力,充分发挥学生的主观能动性,激发学生的求知欲望,教学过程中采取以学生为本的教学理念,加强课堂参与度,有效利用互联网资源。在教学过程中主要采用多种教学方式。

(1)结合CDIO 的教学方式

CDIO(构思(Conceive)、设计(Design)、实现(Implement)和运作(Operate))工程教育模式以产品研发到产品运行的生命周期为载体,让学生以主动的、实践的、课程之间有机联系的方式学习工程[7]。对于电子系统综合设计采取学生课下设计、课堂交流的方式。学生充分利用互联网资源,对教师在课堂上布置的题目或自选题目以小组为单位进行设计。小组内学生以CDIO 的要求对题目进行构思,设计,实现和运作,从工程的角度来实现系统。

(2)课堂讲授与学生自学相结合的方式

重点知识在课堂讲授,需要学生了解和扩展性内容由学生自学,提高他们的自主学习能力,激发学习欲望。本课程中可编程逻辑器件基础及其应用一章,由于芯片内部结构图片较多,而且较大,因此该部分内容的视频和PPT通过网络传给学生,让学生自主下载学习。

(3)现代教育技术应用与教学改革

该门课程充分利用网络资源,采取的措施主要有:教师提供给学生主要的学习网站,学生通过网络进行自主学习;学生和老师通过QQ或微信就学习中存在问题进行交流,以提高时效性;教师建立了QQ群,将一些视频,软件和学习资料放到群中,供学生学习下载,学生编写的程序及调试的视频也可以通过QQ传给教师。

四、实践教学与创新能力培养改革

实践教学是工科院校重要教学环节。本课程设置相应的实验、上机等实践性教学,该课程设置20学时实验,同时还安排了2周的课程设计。

(一)课内实验改革

(二)在实验中,减少验证性的实验内容,增大设计性、综合性的实验内容;在每个实验设计中,都增加一些加宽、加深的内容,希望那些基础好、动手能力强的学生学到更多知识,创新能力得到提高。

(二)创新能力培养

要培养学生的创新能力,创设和营造有利于创新人才成长的条件和环境是关键。在学生的“EDA技术” 课程创新能力培养方面,主要采取的措施如下:

充分利用EDA技术实验室,进行综合系统设计和SOPC系统设计。EDA技术的高级应用是利用FPGA等芯片设计SOPC系统,而由于课时有限,在课上教师将采用FPGA芯片如何实现SOPC系统的方法及进行讲解,供有能力的学生进行自主开发设计。

(三)课程设计

EDA技术课程设计是EDA技术课程综合性实践教学环节。在该课程设计的题目拟定中,采取以学生学习的需要和兴趣为中心,重在所学知识的综合运用和实际问题的解决,学生可以自行拟定题目也可以选择教师推荐的题目,要求题目要有一定的综合性和创新性。

五、教材使用改革

EDA技术是一门应用性较强的课程,主要通过理论教学、实验、实训和课程设计4个环节来提高学生的技能水平,将这4个教学环节融为一体即“四位一体”教学法。这也是我校长期为社会培养高技能应用型人才过程中总结出来的一套行之有效的教学方法[8]。结合本校学生的实际,教材选用了本校教师编写的清华大学出版社出版的《EDA技术基础与实验教材》。该教材将理论和实验充分结合,为实训和课程设计提供了素材,体现了“四位一体”的教学理念。

七、总结

随着社会的发展,科技的进步,高校人才培养要求的变化,传统的培养方式和授课方法已经不能满足学生实践能力、创新能力培养的要求。本文探讨了EDA技术课程的教学改革方法,提出了教学过程中注重学生知识运用能力和创新性思维的培养,采用CDIO式、项目式等教学方法,加强互动性,提高学生的参与的积极性和自主学习的能力,充分利用互联网资源,使学生从被动学习到主动学习,切实提高学生的实际动手能力和创新意识。教学实践证明,提出的改革方法取得了很好的教学效果,得到学生的一致认同。

参考文献:

[1] 董泽芳. 高校人才培养模式的概念界定与要素解析.大学教育科学[J].2012.3:30-36

[2] 张典兵.论高校创新人才培养的几个基本问题.教育现代化[J]2015.5:52-57

高职EDA技术教学实践探讨 篇12

1 精编适用教材

一本好的教材对学生的学习和教师的教学都非常关键。针对我校学生的实际情况并结合EDA实验室的现有设备,编写了一本简单实用的教材,这本教材内容主要包括EWB使用、PROTEL99使用和ISP技术三大部分,这些正是EDA教学的关键内容,也是现代电子技术的发展趋势。另外还补充了最先进的PLD编程技术,该部分主要包括:a.器件介绍,主要有Lattice公司的isp LSI1032芯片、Altera公司的FLEX10K和MAX7128S芯片;b.硬件描述语言,ABEL语言和VHDL语言;c.专用PLD开发软件,MAX+PLUS和isp Expert。通过增加这部分的内容,EDA技术这门课更突出了实用性、先进性,使学生能灵活应用计算机进行电路的辅助分析和大规模可编程逻辑器件的设计,从而提高学生使用现代技术对电子线路进行分析设计的能力。

2 强化实践性教学环节

强化实践能力的培养对提高教学质量、倡导学以致用的良好学风至关重要,尤其对于E-DA技术这类实践性要求很强的课程更是如此。可以根据以下几个途径来实施:

2.1 建立EDA实验室

为了使学生通过实践操作真正熟练掌握、灵活运用所学的知识,为此我们建立了设备齐全、先进的EDA实验室。该实验室由50台计算机组成局域网,每台机器均安装EWB、Protel、MAX+Plus∏和isp Expert等软件,同时还建立了在线可编程逻辑器件CPLD/FPGA电子实验系统,该实验系统不仅针对CPLD/FPGA入门使用和简单设计应用,而且对于程度较高的同学,提供了极其丰富的功能单元并使其具有很好的组成搭接灵活性,使学生能够做出超过大纲要求的具有复杂性和创造性的综合实验。我们配置了20套实验系统和支持6000门CPLD芯片,为课程设计的高起点、先进性提供了完备的实验操作环境。

2.2 加强EDA技术的综合训练

为了让学生初步了解产品开发、设计、研究的全过程,了解电子设计的新概念、新技术和新方法,我们专门安排了为期两周的EDA技术的应用训练,包括计算机仿真技术、在系统可编程技术和印刷电路板计算机辅助设计技术。每一类EDA技术的训练都不是很深,但涉及的面尽可能广,目的使学生了解该项技术的作用及一般的应用方法,激发他们的学习兴趣,这些对于学生来说是最好的锻炼。

2.3 灵活运用网络教学手段

EDA是一门应用性很强的技术,并且由于可编程器件和EDA软件更新的速度非常快,我们的教材无法适用其快速变化,因此,借助E-DA网站这样信息量大、交互方便的媒介就成为EDA教学的首选。

随着我校校园网的建成,我们建立了EDA学习网站。内容有课程教学大纲、教学计划、疑难解答、最新科技动态和技术成果等等,使学生能在业余时间随时访问我们的网站,进行本课程的预习、复习,并相互讨论,深入研究。

3 采用灵活多样的教学方法、教学手段

3.1 变革教学手段

为了提高教学效果,我们采用了现代化多媒体教学手段,不仅加大了课堂信息量,同时也使教学过程形象生动,提高了学生的学习兴趣,同时,我们还把制作的CAI课件放在校园网上,使学生对所学内容能随时查看,及时复习,不断加深和巩固。

3.2 采用启发式教学方法

为了加强学生学习的主观能动性,我们把以往灌输式教学模式改为启发式教学,在课堂上提出一些设计题目,教师主要从思路和方法方面引导学生,让学生课后查找资料,并自己上机验证设计的正确性。这种教学方法培养了学生分析问题和解决问题的能力。在教学过程中,还应该注重该课程与其他课程的联系。例如,在讲利用PLD设计组合电路、时序电路时,把这些内容与数电知识相联系,让他们用电路级和系统级这两种不同的设计方法来设计同一电路,通过对设计思路和设计简捷程度的比较,使学生更好地理解两种设计方法的区别以及E-DA技术的优越性。

3.3 改革考核方法

考核是人才培养过程的重要环节,是检验教学效果和教学质量的主要手段。对于工科学生,工程实践能力是他们最需要的。因此,我们尝试了综合考核方式,即考试成绩由平时成绩和考试成绩组成。考试采用两种方式,一是闭卷考试,侧重于基础知识的考核;另一是开卷考试,给出一个有一定难度的综合性设计题目,要求学生在课后查阅相关资料,制定出一个较完善的设计方案并通过实验设施来完成设计。这种考核方法既考查了学生的自学能力和分析问题、解决问题的能力,同时又达到了正确引导学生学习的目的。

在近三年的教学实践中,通过不断总结经验,改进教学方法,拓宽教学范围,开发新的设计内容,力求使EDA技术的应用训练有成效。虽然取得了一些经验,但是由于EDA教学系统的博大精深,需要我们EDA教学人员的刻苦钻研,只有这样我们才能够培养出高素质的人才。

摘要:介绍了对高职电子专业EDA技术课程所进行的教学实践探索,目的使高职学生能掌握基本的现代设计手段,培养他们分析问题和解决问题的能力。

上一篇:《我爱这土地》下一篇:现代建筑立面装饰设计