基带传输系统

2024-10-08

基带传输系统(共8篇)

基带传输系统 篇1

摘要:蓝牙系统规范自诞生以来,已发展到4.0版本,但其核心协议并无大的改变。而关于其核心协议中基带规范的全面描述并不多见,且叙述多有不一致。本文根据有关资料,力求就其核心规范内容有选择地进行解读,以供参考。

关键词:逻辑传输,包型,寄存器

一、概述

在一个微微网内,主/从设备之间需要建立不同类型的逻辑传输,蓝牙基带规范对于逻辑传输定义了五种类型,即:同步定向连接(SCO)逻辑传输;扩展同步定向连接(eSCO)逻辑传输;异步定向连接(ACL)逻辑传输;激活状态下的从设备广播(ASB)逻辑传输;驻留状态下的从设备广播(PSB)逻辑传输。

SCO是在微微网内一主一从设备之间的点对点的连接。同步逻辑传输主要支持有时间限制的信息,如声音和一般性同步数据。主设备通过在固定的时间周期内利用预定的时隙保持这种同步逻辑连接。除了预定时隙,扩展逻辑传输还会再在预定时隙之后留有一个重传窗口。ACL也是一种主/从设备之间的点对点的连接。在给SCL逻辑传输预定的时隙外,主设备可以在每个时隙基础上与任一从设备建立连接,包括已经参加同步传送的从设备。ASB用于主设备与在网的从设备进行通信;PSB用于主设备与驻留的从设备进行通信。

二、逻辑传输

(1)网内的每个从设备都被指配了一个主逻辑传输地址(LT-ADDR),全0的LT-ADDR留做广播信息之用。主设备没有LT-ADDR,它通过与从设备的时间差来与从设备加以区分;副LT-ADDR分配给网内在用的进行eSCO逻辑传输的从设备。包头内带有LT-ADDR,它仅对网内在用从设备有效。从设备一旦脱网或进入驻留状态,LT-ADDR则不再有效。

(2)同步逻辑传输的SCO。它占有多个时隙,可以被认为是主从设备间的电路切换式连接。主设备可支持对同一从设备或不同从设备多达三个SCO连接;一个从设备可支持来自同一主设备的三个SCO连接,或者支持来自不同主设备的两个SCO连接。SCO包不做重传。

(3)第二种同步连接是eSCO。它也占有一定的时隙,可认为是主从设备之间以电路切换方式的连接。除已占有时隙外,eSCO在紧接占用的时隙后有一个重传窗口。占用时隙与重传窗口一起,构成完整的eSCO窗口。

(4)异步逻辑传输。在未指配给同步逻辑传输的时隙中,主设备可与任何一个从设备在单一时隙内交换数据包。ACL为主设备与所有在网的从设备提供包切换的连接,且同步、异步业务均支持。在主设备与从设备之间仅存在一个单一ACL逻辑连接。而对于大多数ACL包来说,包的重传是为了保证数据的完整性。没有定义特定从设备地址的ACL包被认为是广播数据,可被任一个从设备读取。如果在ACL逻辑传输上没有数据发送,且没有轮询要求,因而也就没有发送要求。

三、收/发过程

1.发射(TX)过程

TX是由同步和异步逻辑传输分别完成的。如图1所示,在TX过程中使用了同步和异步缓冲器(图中仅标出了一个同步TX缓冲器及一个异步TX缓冲器)。实际上在主设备中为每一个从设备均分配了一个TX异步缓冲器。此外,对每一个同步传输的从设备(不同的SCO.eSCO逻辑传输既可以重复使用同一个TX同步缓冲器,也可以每个逻辑传输有各自的TX同步缓冲器),也可能分配一个或多个TX同步缓冲器。每个TX缓冲器由两个FIFO (先入先出)寄存器组成:一个当前使用的寄存器供连接控制器访问并读取,以形成数据包;另一个供基带资源管理控制器访问以装载数据信息。开关S1和S2的位置决定了哪一个是当前在用的寄存器,哪一个是下一个要用的寄存器,开关是由连接控制器控制的。在FIFO寄存器输入和输出端上的开关不可同时接在同一个寄存器上。在ACL,SCO逻辑传输的公共包内,只有DMI包携带有在连接控制器与连接管理器之间交换信息的载荷;该公共包使用了异步缓存器。除DV包外,所有SCO及eSCO包均使用同步缓存器。DV包中同步数据部分由同步缓存器处理,而数据部分由异步缓存器处理。

(1) ACL交换。在异步数据情形下,只使用DM或DH数据包,其长度也不等,并标识在载荷头部。DM或DH包的选择,取决于连接质量。

在完成数据交换时,默认的包型为NULL (空)。这意味着如果没有数据要发送,或没有从设备需要选中,则发送NULL,以便向其他设备发送连接信息(例如,用来接受信息的ACK/STOP信息)。当没有收到连接控制信息时,也就没必要发送数据包了。

TX工作流程如下:基带资源管理器将新的数据信息装入开关S1a指向的寄存器,然后再向连接控制器发出指令,连接控制器令S1改变(S1a,S1b开关同步动作)。当需要发送载荷时,包合成器读取当前寄存器,并且依据包型建立一个附着在当前信道接入码及包头之后的载荷,并随后发送出。在应答包中(如果是主设备的发射,则在RX时隙中到达,如果是从设备发射的话,则在延迟几个RX时隙后到达)包含了发射结果。在收到ACK情形下,开关S1将改变位置,而在收到NAK情形下,开关S1保持不变。这时,在下一个TX过程中重发同一个载荷。

如果新的数据装进了下一个寄存器,则需要用FLUSH指令将开关S1切换至一个合适的寄存器。只要基带资源管理器在每个发射时隙前不停地将数据及包型装入寄存器,连接控制器将会自动处理这些数据。FLUSH命令也用于有时间限制(同步发生)的数据。在连接不好时,需要多次重发,也可按规定甩掉无法顺利发送的载荷。任何ACL类型的包都可以被用于向其他任何从设备发送数据载荷和连接控制信息。

(2) SCO数据交换。在SCO逻辑传输中,只使用HV和DV包。其同步端口可以将NEXT寄存器的内容连续装入同步缓存器中。开关S2可以根据TSCO周期变化(协商确定的)。在每个新的SCO时隙内,包合成器都要读取当前寄存器,之后开关S2会改变位置。如果SCO时隙被用来优先发送连接的控制信息包,那么包合成器将会丢掉SCO信息,转而使用控制信息。该控制信息会在DM1包中发送。主设备与SCO从设备之间也会使用DV或DM1包进行数据和连接控制信息交换。

(3)数据/语音混合交换。当包型为DV时,连接寄存器会将数据部分装入包内的数据区,而将语音部分装入包内语音区。而后,开关S2改变位置。然而,在ACL逻辑传输中S1的位置是由发送结果决定的,即:仅当收到ACK信息时,S1才改变位置。在每一个DV包内,声音信息可能是新的,但数据信息可能是旧的(重发信息)。如果没有数据发送,那么在进行数据/语音混发之前,SCO逻辑传送将自动从DV包转为当前使用的HV包。注意:在数据流操作中断时以及新的数据到来时,需使用FLUSH命令。如果信道容量允许,音/数混传也可使用分离的ACL逻辑传输完成。

(4) eSCO数据交换。在eSCO传输中,开关S2根据TeSCO周期改变(是协商确定的)。在每个eSCO时隙内,包合成器读取当前寄存器数据,此后,开关S2改变位置。如果eSCO时隙被用来优先发送有关的控制信息,或者ACL包,包合成器将会丢弃eSCO信息,转而使用控制信息。对eSCO从设备的控制信息是在DMI包上的初始LT-ADDR上发送的。

(5)默认的包型。在ACL连接中,无论对主设备还是从设备,默认的包型都是NULL包。这意味着,如果没有用户信息要发送,当收到ACK或者STOP信息时,要么发送NULL包,要么什么都不发送。该NULL包可以被主设备用于将下一个主-从时隙分配给某个从设备。然而,从设备不会被强制响应来自主设备的NULL包。如果主设备需要从设备响应,它会发送POLL包。

当SCO或eSCO逻辑传输建立时,在LM层上就约定好了SCO和eSCO包型。该约定的包型也是预留给SCO和eSCO时隙的默认包型。

2.接收(RX)过程

接收过程是根据ACL逻辑传输和同步逻辑传输分别进行的。然而,与TX异步缓存器相比,所有从设备共享一个RX缓存。对于同步缓存器来说,同步逻辑传输的不同之处是根据是否需要额外的同步缓存器来区分的。图2标明了接收过程中所使用的同步和异步缓存器。RX同步缓存器也包括两FIFO寄存器,一个装满了刚刚收到的语音信息,而另一个可由语音处理单元读取。

由于在接收到的包头中带有的TYPE标识标明了载荷中是否含有数据或语音信息,包的解码合成器会自动指向合适的缓存器来传送信息。基带资源管理器每读取一次原有的寄存器,开关S1就会改变一次。如果RX寄存器还没来得及清空,下一个载荷就到来,那么接着返回的包头中就会包含一个STOP标识。只要RX寄存器被清空,STOP标识就会再次被清掉。在新的ACL载荷被存入异步缓存器之前,需要检查SEQN区域(在LLID区域内的FLUSH标识及广播信息会影响到对SEQN区域内容的解读)。

开关S2的变换分别对应SCO的TSCO以及eSCO的TeSCO周期。如果由于包头错误,没能收到新的同步载荷,开关S2仍然变换。同步数据处理单元会将该同步数据计入丢失的部分。

(1)流控制由于RX ACL的缓存器在收到新的载荷时可能会被填满,因而需要流控制。在回送的TX包内,头部区域的FLOW标识区可以用STOP或者GO来控制新数据的发送。

(2)目标控制只要没收到数据,就要发送STOP标识。该标识由连接控制器自动插入回送包的头部。只要基带资源管理理器没能清空RXACL缓存器,也要回送STOP标识。当可以再次接受数据时,则回送GO标识。GO的值是默认的。不含数据的包仍然可以接收,如语音通信就不受流控制的影响。虽然一台设备不能接收新的信息,但还可以继续发射信息。流控制是对不同方向分别进行控制的。

(3)源控制一旦收到STOP信号,连接控制器就会自动切换到默认的包型。ACL包在收到GO信号时会继续发射。当没有收到数据包时,GO信号会没有意义。注意,默认的包内含有接收方的连接控制信息(在头部),还可能含有同步数据(HV,EV包)。当收到GO信号时,连接控制器会继续发送还在TX ACL缓存器内的数据。在多个从设备的配置中,只是收到了STOP信号的从设备的发送被推迟。

(4)在网从设备的广播传输。用于向网内所有从设备进行L2CAP用户数据传输。所有从设备都连接在被ASB使用的物理信道上,并且没有应答协议。数据传输是在网内由主设备向从设备单向完成的。ASB逻辑传输只可用作L2CAP组传输,不可用于定向连接信道内的L2CAP、L2CAP控制信号、LMP控制信号传输。

ASB逻辑传输并不可靠。为改善其可靠性,每个包可以多发几次。使用一个特定可识别序列数,有助于过滤掉从设备的重发信息。ASB逻辑传输可由预留的全零的LT-ADDR (逻辑传输地址)加以识别。ASB逻辑传输包可由主设备在任何时刻发送。

(5)驻留从设备广播传输。用于向网内驻留的从设备传送信息。PSB (驻留从设备传输)比起其他的逻辑传输还要复杂。因为它包含了几个阶段,每个阶段都有不同的目的,分别是控制信息阶段(用于执行LMP逻辑连接)、用户信息阶段(用于执行L2CAP逻辑连接),以及接入阶段(用于发送基带信号)。PSB逻辑传输也是由保留的全零“LT-ADDR”加以识别的。

(6)驻留成员地址。一个驻留状态下的从设备可以由其“BD-ADDR”或者特定的驻留成员地(PM_ADDR)加以识别。后者是一个可以区分从设备的8位成员地址。PM_ADDR仅在从设备驻留状态下有效。一旦该设备被激活,就会失去其PM_ADDR而被分配一个LT_ADDR。从设备驻留期间,主设备再给其分配一个PM_ADDR。

(7)接入请求地址。供驻留的从设备在接入窗口中确定从-主发送半时隙用的。接入窗口是用来发送接入请求信息的。从设备进入驻留状态就会被分配一个AR-ADDR,并在驻留期间一直有效。每个丛设备的AR-ADDR并不一定是惟一的。例如,驻留的不同从设备它们的AR_ADDR可能是一样的。

四、结束语

本文简要介绍了蓝牙规范4.0版本中有关基带逻辑传输方面的有关内容。主要涉及到规范定义的五种逻辑传输类型和发射(TX)/接收(RX)过程,从中可以看出,随着蓝牙技术的广泛应用,其规范也在不断发展完善之中。

基带传输系统 篇2

(一)CDMA数字基带收发系统模型设计

在实际通信领域应用的CDMA系统,是一个相当复杂的系统,但如果就发送方和接收方来对CDMA系统进行划分,它至少含有上行和下行的链路。其中由移动台发向基站的无线线路,称为上行链路;由基站发向移动台的无线线路,称为下行链路。不论是上行链路还是下行链路都是由发送和接收两部分构成的一个通信系统,本文研究的发送和接收系统主要由调制及延时、解调和码发生三部分功能。如图1。

(二)模块电路的设计

1. 码发生器(CREATOR)电路设计

图2是码发生器电路设计图,我们以MAX+plusⅡ为开发平台,运用VHDL语言编程实现各个小模块功能, 再连接各某块,CREATOR用来提供各种系统所需码信息和控制信息,包括:4路输入信息mess[3..0],4路WALSH码,周期为127的PN码及各个分频时钟。

2. 调制及延时(MODULATE—DELAY)电路设计

如图3,内嵌于编码器中的信息信号发生器产生的4路输入信号,经Walsh调制、PN扩频、基带求和与并串变换成为1路信号,完成调制。

(三)仿真与分析

作者对以上电路在MAX+plusⅡ软件平台上成功地实现了仿真。经过对以上模块的仿真分析得出以下结论:系统设计中比较难的一点就是时延问题,因为在此实验中要求PN码、Walsh码与原输入信号应该比较严格对齐,但是其中的一些时延又是不可避免的。解决方法如下:

1. 为了消除它带来的影响,在编码端,用时钟的上升沿触发,在解码端用下降沿触发。

这是利用数字器件的触发特性,使编码时钟相当于提前了半个时钟。因此得以改善延时问题,提高通信质量。

2. 硬件本身的时延比较小容易解决,但是串并变换时的

时延是大于1个周期的,因为在串并变换中必须等到3路信号的串序码全部到来时才能完成串并变换。又因为在解调时,PN码、Walsh码和输入信号必须是一一对应的,所以在实现时将PN码、Walsh码进行延时处理,将所有的码字顺序后延,使所有的信号及码字重新一一对应起来。在本系统中,为了验证解出的码字与原信号是否相符,并把结果用灯显示,将原信号作一定的延时,以有利于比较。在延时的实现中充分利用VHDL中SIGNAL的赋值特性(在赋值时都有一定的延时),可很容易实现码字的顺序后延。

参考文献

[1]陈萍.实验系统的计算机仿真[M].北京:国防工业出版社, 2001:176-213.

[2]王文博.时分双工CDMA移动通信技术[M].北京:北京邮电大学出版社, 2001:102-156.

[3]潭会生, 张昌凡.EDA技术及应用[M].西安:西安电子科技大学出版社, 2001:115-146.

[4]uwa.S.;Atarashi.H.;Sawahashi.M.;Performance comparison between MC/DS-CDMA and MC-CDMA for reverse link broadband packet wireless access[J].Vehicular Technology Conference.2002.Proceedings.VTC2002-Fall.2002IEEE56th.Volume4.24-28Sept.2002Page (s) :2076-2080.

基带传输系统 篇3

摘 要:提出了基带信号发生器中CDMA2000无线传输技术的下行链路基带处理方案,给出了其数字基带处理原理框图,并详细介绍了设计过程中涉及的各种CDMA 关键技术及其软硬件实施方案。

关键词:CDMA2000;基带信号发生器;FPGA;DSP

1引言

第三代移动通信系统是为满足人们对宽带移动通信的要求而产生的,他除能提供传统的电路数据业务(语音和低速数据业务)以外还能提供最高达2 Mb/s的分组数据业务。CDMA2000技术是第三代移动通信系统的主要标准之一。本文主要探讨基带信号源中CDMA2000下行基带信号模块的实现方案。

CDMA2000下行链路物理信道分为2类:一类是公共物理信道,一类是专用物理信道。其中公用物理信道包括:导频信道、同步信道、寻呼信道、广播信道、快速寻呼信道、公共功率控制信道、前向公共控制信道、公共指配信道。专用物理信道包括:前向专用辅助导频信道、专用控制信道、前向基本信道、前向补充码分信道(RC1,RC2),前向补充信道(RC3~RC9)。CDMA2000下行信道基带处理过程如图1所示。

基本信息比特进行信道编码和交织处理后进行长码加扰以区分用户,然后数据流进行符号映射即将0变为+1,1变为1,经变换后的数据流再进行串并转换,即将串行数据变为并行数据,再经WALSH码扩频(区分信道),最后数据经基带滤波形成前向基带信号。

其中的信道编码和交织进行的处理又包括加1位的保留位或标志位,加帧质量指示(CRC),加8位尾比特或保留位,卷积/Turbo编码和速率匹配等一系列操作。如图2所示。

信道编码和交织处理过程如图2所示。在信息比特流加入了帧质量指示(循环冗余校验比特)和纠错比特,实现检错。对数据进行卷积/Turbo编码是为了对抗传输信道中的随机误差,提高信道传输性能。为了适应多种速率传输,信道编码方案中还增加了速率匹配功能。速率匹配是将传输信道上的数据比特打孔或重复,以便达到信道映射时传输格式要求的比特速率。在信道编码中,采用交织技术可分散突发连续错误,减少信道编码需要校正的连续错误,使连续误码离散化成随机错误以便利用前面的信道编码手段纠正。

2CDMA2000下行链路基带处理的关键技术 2.1卷积/Turbo编码

卷积编码属于信道编码,主要用来纠正码元的随机误差,他以牺牲效率换取可靠性,利用增加监督位进行检错和纠错,这对数字移动通信十分必要。如图3所示是编码效率R=1 /2,约束长度K=9的卷积码的原理框图。

Turbo编码是近年来倍受瞩目的一项新技术,他是在卷积编码、级联码和最大后验功率译码基础上的一种推广和创新,Turbo编码后的误码率(BER)近似为10-5,接近Shannon极限的性能,他不仅在信噪比较低的高噪声环境下性能优越,而且具有很强的抗衰落、抗干扰能力。Turb o码的优良性能受到移动通信领域特别是第三代移动通信体制的重视,所有的第三代无线接口标准都采用了Turbo编码。但因为Turbo编码实现复杂,所以他主要用于高速率数据信道,而卷积编码用于低速率话音信道。如图4为Turbo编码的原理框图。

2.2交织

对输入的数据进行交织可以改善码距分布。交织就是用某种一一对应的确定性方法重新排列二进制和非二进制序列顺序的过程,以此来随机化突发错误的统计特性,使得信道无记忆。交织技术是为了抵抗无线信道的噪声以及衰落的影响而采取的时间分集技术,他在接收技术中具有重要的作用,在编码过程中采用交织算法是为了对信息流进行纠错控制。交织技术分散了随机错误和突发错误,采用交织技术使成群错误趋向更随机地分布,改善了码组的误码率性能。下面仅给出对于前向同步和寻呼信道以及业务信道在RC1和RC2配置下的交织器数据输出地址的计算公式:

其中:Ai表示被读出符号的地址,i=1,2,…,N1,N表示交织器长度;[x]表示向下取整;imod j表示i对j取模;BROm表示y的m位比特反转值;m与j为交织器参数可查表得到。

2.3扰码

扰码技术即用PN码与已扩频码相乘,实现对信号的加密。扰码之间必须有良好的正交性。上行链路物理信道加扰的作用是区分用户,下行链路加扰可以区分小区和信道。42位长PN码的特征多项式如下公式:

15位的PN短码用于QPSK调制的I,Q支路的直接序列扩频,两支路的短PN码特征多项式分别为:

2.4扩频

扩频操作又叫信道化操作,即用一个高速数字序列(扩频码)与数字信号相乘,把数据符号转换成一系列码片,从而大大提高了数字符号的速率,增加了信号带宽。由信号理论知道,脉冲信号宽度越窄,其频谱就越宽,信号的频带宽度和脉冲宽度近似成反比,因此,越窄的脉冲序列被所传信息调制,可产生频带很宽的信号。扩频码序列就是很窄的脉冲序列。通过扩频操作信号频谱被大大拓宽了。在常规通信中,为了提高频率利用率,通常都是采用大体相当带宽的信号来传输信息,即在无线电通信中射频信号的带宽和所传信息的带宽是属于同一个数量级的,但扩频通信的信号带宽与信息带宽之比则高达100~1 000,属于宽带通信,这样做是为了提高通信的抗干扰能力,这是扩频通信的基本思想和理论依据。扩频通信系统扩展的频谱越宽,处理增益越高,抗干扰能力就越强。在接收端用与发送端完全相同的扩频码序列来进行解扩。

2.5基带滤波

基带部分滤波器就是脉冲成形滤波器(LPF)。由于输出信号是带宽受限的,所以扩频调制器的输出码片流要利用脉冲成形滤波器进行滤波。

2.6QPSK调制

QSPK正交调制器方框图如图5所示,他可以被看成是由2个BSPK 调制器构成。输入的串行二进制信息序列经串/并变换,分成两路速率减半的序列,电平发生器分别产生双极性二电平信号I(t)和Q(t),然后用载波分别进行调制,相加后即得到QPSK信号。QPSK调制效率高,要求传送途径的信噪比低,非常适用于CDMA移动通信系统。其原理框图如图5所示。

3CDMA2000下行链路处理模块的实现

第一步在实现基带模块之前,首先必须根据应用系统的目标确定系统的性能指标、协议要求。

第二步是根据系统的要求进行芯片的选择,可供选择的芯片包括DSP,FPGA 和单片机。其中,DSP 芯片可单独完成整个基带部分的处理,典型的以DSP为核心的基带模块的主要特点 是方便的可测量性、单个信道的低耗费以及简便的软硬件升级性。也可选择DSP与FPGA 搭配使用,FPGA+DSP结构最大的特点是结构灵活,有较强的通用性,适于模块化设计,从而能够提高算法效率,同时其开发周期较短,系统易于维护和扩展,适合于实时信号处理。采用不同的芯片进行处理,会导致不同的系统性能,要得到最佳的系统性能,就必须在这一步确定最佳的芯片选择搭配。

在完成第二步之后,就是总体设计确定软硬件分工。基带模块的设计包括硬件设计和软件设计2个方面。硬件设计首先要根据系统运算量的大小、对运算精度的要求、系统成 本限制以及体积、功耗等要求选择合适芯片。然后设计芯片的外围电路及其他电路。软件设计和编程主要根据系统要求和所选的芯片编写相应的汇编程序,若系统运算量不大且有高级语言编译器支持,也可用高级语言(如C语言)编程。由于现有的高级语言编译器的效率还比不上手工编写汇编语言的效率,因此在实际应用系统中常采用高级语言和汇编语言的混合编程方法,即在算法运算量大的地方,用手工编写的方法编写汇编语言,而运算量不大的地方则采用高级语言。

硬件和软件设计完成后,需进行硬件和软件的调试。软件的调试一般借助于芯片开发工具,如软件模拟器、开发系统或仿真器等。硬件调试一般采用硬件仿真器进行调试,如果没有相应的硬件仿真器,且硬件系统不是十分复杂,也可以借助于一般的工具进行调试。系统的软件和硬件分别调试完成后,对软硬件进行系统集成。最后,完成系统调试。实现框图如图6所示。

4结语

本设计的基带信号发生器CDMA2000下行链路基带模块设计将移动通信中的各种关键技术融为一体,形成具有整体性的CDMA数字基带处理技术。在CDMA2000基带设计过程中融入了软件无线电的思想,提出了无线信号发生源CDMA2000无线传输技术的基带处理方案,设计出信号源数字基带处理的软硬件实施方案,实现时运用了FPGA+DSP这样一种灵活的现代电子技术方案。参考文献

基带传输系统 篇4

在数字通信中, 来自计算机、电传机等数据终端的信号, 或者是模拟信号经数字化处理后的PCM信号等称为基带信号[1]。本文通过对常用基带信号码的波形分析, 得出了这几种常用基带信号码形之间的转换原理, 从而设计出数字基带信号传输码型发生器。在具体的实现方式上使用VHDL[2] (超高速集成电路硬件描述语言) 来描述, 传统的数字电路设计要将设计具体化到最底层的基本器件, 自下而上进行设计, 而VHDL语言主要用于描述数字系统的结构、行为、功能和接口, 有作其他硬件描述语言无法比拟的优势。与之相应的开发环境是Altera公司MAX+plusⅡ, 对设计进行仿真和验证。

1 数字基带信号的常用码型

见图1。

2 基带信号传输码型发生器设计

2.1 常用基带信号的码形转换原理

根据图1各种基带信号码所对应的波形, 可列出各码形的转换原理如图2。

”表式高低两种电平

2.2 常用基带码发生器原理方框图

在基带传输系统中, 基带码的双极性码形需要数字部分加模拟部分电路来实现[3], 在本文的基带码发生器设计中没有包含模拟电路部分, 输出信号为数字信号。对双极性的信号如双极性归零码 (RZ) 、极性交替反转码 (AMI) 码码形输出引入正负标志位, 而对双极性非归零码 (NRZ) 和差分码码形输出时由低电平表示负极性。基于这种规定和各基带码形转换原理图, 可画出它的原理方框图如图3。

2.3 采用VHDL语言实现基带码发生器

形成模块化[4]的基带码发生器如图4所示。

图4其端口定义如下:

2.4 仿真及分析

基带码发生器的波形如图5所示, 为了便于清楚观测各测试点的仿真波形, 图6进行了局部放大, 截取了仿真的前80ns所对应的波形。clk是系统时钟信号, start 是使能信号, dat为16位二进制数据, 在仿真过程中输入的clk信号周期是20 ns, 二进制数据码流dat信号的最初设置为00000110011000000, 栅格尺寸是20 ns, 每隔一个栅格dat信号增加1。产生的AMI、SRZ、CFM、CMI、DRZ、FXM、NRZ等基带码完全符合图1的码形输出。

3 结束语

传统的码形设计器需要数字部分与模拟部分相结合[5], 基带码中正负极性需要用模拟的部分完成, 而本文的创新点就在于对数字基带信号的传输码形发生器的设计, 引入了极性标志位, 不需要模拟部分就能完成设计;全数字式的设计采用了VHDL语言来进行描述, 其原因在于VHDL语言有作其他硬件描述语言无法比拟的优势, 大大的节省了设计周期。

摘要:探讨了在数字基带传输系统中, 基于VHDL语言的基带信号传输码型发生器的设计。简单介绍了几种常用的基带信号传输码型, 分析这几种码型的转换原理, 并利用MAX+PLUSⅡ软件进行了仿真和验证, 其功能符合基带传输码的要求。

关键词:VHDL,基带信号传输码,MAX+PLUSⅡ

参考文献

[1]曹丽娜, 樊昌信.通信原理 (第6版) .北京:国防工业出版社, 2007

[2]云仙.VHDL在数字电路设计中的应用.浙江科技学院学报, 2004;9:167—168

[3]谢自美.电子线路设计、实验、测试 (第2版) .武汉:华中科技大学出版社, 2000

[4]王振红.VHDL数字电路设计与应用实践教程.北京:机械工业出版社, 2003

基带传输系统 篇5

近年来,人们对语音、数据和多媒体业务的需求越来越高,传统的无线传输技术已无法满足日益增长的带宽需求,因此涌现出ZigBee、UWB(超宽带)无线通信和毫米波等新型的短距通信技术。UWB通信是一种高速短距无线通信技术,在学术界、工业界都得到了广泛的应用[1]。FCC(美国联邦通信委员会)规定UWB的频段为3.1~10.6GHz,功率谱密度小于-41.3dBm/MHz,其一部分频谱与现有的无线通信共享信道。IR-UWB(脉冲超宽带)由于其低复杂度、低成本和低功率损耗的特点,受到广泛关注。60GHz毫米波通信是另外一种短距通信解决方案,采用全球无需许可即可使用的7~9GHz频带宽度,室内传输速率可达数Gbit/s[2]。由于IR-UWB的功率密度限制以及60GHz波段在自由空间传输时衰减严重,长距离传输时需要用光纤作为载体,即UWB-over-fiber(光载超宽带)和RoF(光载射频)技术,如何在光域生成毫米波信号和UWB信号是光载UWB和RoF的关键。

毫米波光学生成技术大致分为光外差调制技术、上变频和外部调制技术[3]3类。目前常用的方法是基于外调制器结构的毫米波光学生成,该方法结构简单、成本较低。使用MZM(马赫-曾德调制器)或PM(相位调制器)作为外部调制生成倍频毫米波的方法已经相当成熟[4,5,6],相对于MZM,PM不需要复杂的电路提供偏置电压,系统结构更加简单[7]。光域生成UWB脉冲信号的方法也有很多,常用的有基于相位调制/强度调制的转换、半导体光放大器的非线性效应[8]、频谱整形和频域到时域映射以及通过改变MZM的调制点来产生一阶或二阶UWB脉冲信号[9]。文献[10]提出了一种时分复用和频分复用相结合的方法共同传输毫米波和UWB信号,文献[11]实现了一种毫米波、UWB和基带信号共同传输的密集波分复用系统。为了更好地利用有限的频谱资源,本文设计了一种在一个波长内,通过频分复用和偏振复用共同传输60GHz毫米波、UWB和基带信号的光纤通信系统,并通过Optisystem光子仿真软件验证了其可行性。

1 系统设计及原理分析

1.1 系统设计

图1所示为本文所提出的系统结构框图。在中心站侧,CWL(连续激光器)产生的光载波通过分光器均分为3路,第1路和第2路光载波分别注入两个并联的PM,10GHz的RF(射频)信号经过分束器后分别调制这两个PM,生成的三阶边带间隔为60GHz。再经过MZM将基带信号调制到边带上。第3路光载波通过PBS(偏振分束器)分成两路偏振态正交的光载波,其中一路光载波由基带信号经MZM直接调制,另一路由高斯脉冲调制MZM,生成二阶高斯脉冲。然后经合波器将3路信号合成一路信号,经光纤传输到基站。在基站侧,首先通过反射型FBG(光纤布拉格光栅)分离出载波和三阶边带。其中分离出的三阶边带经过PD(光检测器)拍频生成60GHz毫米波信号;分离出的载波经过PBS分离出两个偏振态正交的光载波,这两路信号经过PD实现光/电转换,分别恢复出基带信号和UWB信号。

注:PBC为偏振合束器。

1.2 60GHz毫米波和UWB信号生成原理

设PM输入光场为Ein(t)=Ecexp(-jωct),RF信号为V(t)=VRFcos(ωmt+θ),式中,Ec、ωc分别为光载波振幅和角频率;VRF、ωm、θ分别为RF信号的振幅、角频率和初始相位。光载波和RF信号注入PM后的输出光场为

式中,Δφ为PM相移常量。式(1)取实部并根据雅可比-安格尔恒等式可得:

调节相移常量使J1(ΔφVRF)=0,即可抑制一阶边带。设置第1路RF信号的初始相位为0,第2路RF信号的初始相位为180°,两路信号经减法器相减,同时忽略三阶以上边带,可得:

式(3)只包括上下三阶边带,如果RF信号为10GHz,则上下三阶边带经过PD拍频后即可生成60GHz毫米波。

采用电高斯脉冲调制双极型MZM,经MZM调制后生成的二阶高斯脉冲如图2所示,当MZM的偏置点位于最小偏置点略微偏左侧时,高斯脉冲在上升沿会先经过小段下降,再上升到最大点,下降沿则相反。调制后生成类似正极性二阶微分高斯脉冲,在基站侧经PD转换即可恢复出UWB信号。

2 仿真实验及分析

2.1 仿真设置

根据图1所示的系统框图,采用Optisystem光子模拟软件,设计出了同时传输60GHz毫米波、二阶UWB信号和基带信号的仿真系统。在中心站,设置CWL的输出频率为193.1 THz,功率为0dBm,线宽为10 MHz。设RF本振频率为10GHz,加到PM上的两路RF信号相位差为180°,PM相移常量取439°,双极型MZM的Vπ设为5V,两臂直流偏置电压分别为0和4V。基带信号速率为2.5Gbit/s。单模光纤衰减量为0.2dB/km,色散为16.75ps/(nm·km),PMD(偏振模色散)系数为PD响应率为1A/W,暗电流为10nA。

2.2 仿真结果

在基站侧,通过反射型FBG分离出光载波和边带。载波经PBS分离出基带信号和UWB信号。一路偏振光经光/电转化后恢复出调制在其上的基带信号,基带信号的眼图如图3所示。

另一路偏振光经光/电转换后生成UWB信号,其时域和频域图如图4所示。可见UWB信号的中心频率为5.5 GHz,在-10 dB带宽时达到5.4GHz,相对带宽达到98%,符合FCC标准。

图5所示为上下三阶边带经PD拍频生成的60GHz毫米波频谱图,产生的60GHz毫米波信号峰值为-10dBm,同时还包含载波和其他边带成分,这是由于高阶边带并不能完全忽略,反射型FBG无法完全滤除载波的缘故。图6所示为解调60GHz毫米波上的数据所得到的眼图。

2.3 偏振复用对误码率的影响

图7所示为毫米波单独传输、毫米波和UWB、毫米波和基带信号以及3路信号共同传输时的毫米波信号的误码率曲线图。由于前3种情况没有加入偏振复用,误码率曲线几乎重合。信号速率为2.5Gbit/s,传输20km,在保证误码率不大于10-9时,4种情况下接收灵敏度分别为-27.6、-27.2、-27.5和-25.9dBm。偏振复用带来的功率代价约为1.7dB。

3 结束语

本文提出了一种单波长共同传输60GHz毫米波、UWB信号和基带信号的系统方案。采用两个并行PM即可生成6倍频毫米波,电高斯脉冲调制单个双极型MZM生成二阶UWB信号,采用偏振复用实现基带信号和UWB信号的共同传输。仿真得到60GHz毫米波,中心频率为5.5GHz,相对带宽为98%的二阶UWB。调制在60GHz毫米波和光载波上的2.5Gbit/s基带信号,经20km单模光纤传输后眼图清晰。所提系统方案的特点是系统只采用一个波长,利用高阶边带产生高倍频毫米波,利用偏振复用将基带和UWB共同调制在载波上,结构简单,能实现无线信号(毫米波、UWB)和有线信号(基带信号)的混合接入,在未来光接入网中具有广泛的应用前景。

参考文献

[1] Park C,Rappaport T S.Short-Range Wireless Communications for Next-Generation Networks:UWB,60GHz Millimeter-Wave WPAN,And ZigBee[J].IEEE Wireless Communications,2007,14(4):70-78.

[2] 卓兰,郭楠.60GHz毫米波无线通信技术标准研究[J].信息技术与标准化,2011,(11):40-43.

[3] 吴君钦,余艳芳.基于双平行PM调制的60GHz光毫米波RoF系统研究[J].半导体光电,2012,33(5):715-717.

[4] O'Reilly J J,Lane P M.Fibre-supported optical generation and delivery of 60GHz signals[J].Electronics Letters,1994,30(16):1329-1330.

[5] Qi G,Yao J,Seregelyi J,et al.Generation and distribution of a wide-band continuously tunable millimeterwave signal with an optical external modulation technique[J].IEEE Transactions on Microwave Theory&Techniques,2005,53(10):3090-3097.

[6] Shen Y,Zhang X,Chen K.Optical Carrier-Suppression of Microwave Signals with Stimulated Brillouin Scattering in Long Fiber Ring[J].Microwave &Optical Technology Letters,2004,43(3):258-260.

[7] 胡善梅,陈林.基于相位调制器产生六倍频光毫米波的OFDM信号光传输系统研究[J].光子学报,2010,39(4):699-703.

[8] 张震,陈新桥,王瑞东,等.基于非线性光学环镜中SOA的XPM效应生成UWB信号的研究[J].半导体光电,2013,34(6):1032-1035.

[9] 李晶,宁提纲,裴丽,等.Optical ultra-wideband pulse generation and distribution using a dual-electrode Mach-Zehnder modulator[J].Chinese Optics Letters,2010,8(2):138-141.

[10]徐刚.毫米波与UWB信号共同传输的RoF系统研究[D].北京:清华大学,2010.

基带传输系统 篇6

关键词:OFDM,同步误差波动,自适应处理

正交频分复用( OFDM) 由于频谱利用率高、抗多径衰落能力强、抗噪声干扰能力强等优点在WLAN,DAB,DVB,HDTV等通信系统中得到了广泛的应用。但是,OFDM系统在子载波相位一致时,由于输出信号的叠加造成OFDM系统较高的峰值平均功率比,使得信号对发送端系统中功率放大器的非线性十分敏感,功放的非线性会带来信号的带内失真和带外失真,带内失真主要表现为信号幅度和相位的失真,就QAM信号来讲,主要是星座图的旋转和弥散。带外失真主要表现在信号频谱扩展,对邻近信道造成干扰[1]。因此对OFDM系统发送端功率放大器的线性化技术的研究就显得十分重要[2]。目前针对功放的线性化技术包括功率回退技术、前馈线性化技术、笛卡尔后馈技术、非线性器件技术以及数字预失真技术,而数字预失真技术以其无稳定性问题、精度较高、适应宽带通信等优点前景最好,其中基于查询表的数字预失真技术又具有实现较为简单、资源消耗较低等优点,因此本文选择基于查询表的自适应预失真实现技术。

本文首先简单介绍了OFDM系统中自适应预失真处理单元,在此基础上设计了一种基于同步恢复环路的查询表自适应预失真器,通过仿真表明系统在考虑存在环路延时的情况下,通过环路同步处理和自适应预失真算法可以有效地改善因功放非线性带来的系统性能下降。

1 OFDM系统自适应预失真的基本模型

如图1 所示为OFDM系统自适应预失真[3]处理的基本模型,如图所示整个预失真系统可以分为3 个部分: 自适应算法[4,5]部分、环路延时估计[6]部分以及预失真查找表。自适应预失真系统中自适应算法比较成熟,而难点在于考虑环路延时的存在,将自适应算法和环路延时估计有效地结合起来,并完成查询表的有效刷新,最终保证整个系统级联后预失真能有效改善信号因功率放大器非线性造成的失真,提高整个系统的效率。

2 系统设计

功率放大器是OFDM系统中主要的非线性器件,本文采用基于输入信号幅度索引的一维查新表的预失真技术来消除功放的非线性,自适应预失真功率放大器系统结构如图1 所示,自适应预失真系统可大致分为5 个主要部分,分别为同步处理模块、同步误差判定模块、自适应算法模块、查询表刷新判定模块以及预失真查询表。

2. 1 同步处理模块

由于自适应预失真算法中需要反馈回路提供接收信号,因此反馈信号的真实性在很大程度上将影响自适应算法的正确性以及系统工作的有效性和稳定性。而反馈回路中接收的信号需经过变频和模数处理等,反馈信号和原始输入信号相比不可避免地会存在时延和一定的噪声,因此自适应系统有必要首先纠正反馈信号中的时延,在延时得到矫正的前提下预失真操作才可以有效进行。同步处理模块的目的就是纠正信号中存在的延时。其中同步处理可分为整数倍延时估计部分和小数倍延时估计部分[7],同步处理模块简单工作流程如图2 所示。

系统开始后先初始化数据,同步处理模块首先进行整数倍延时估计,缓存单元2、3 分别( 图1) 存储一帧输入数据和经过PA后并进行AD下变频接收的一帧数据,利用数据相关的方法,将预失真器的输出信号和反馈回路接收的信号进行相关,通过检测相关峰值,估计出反馈信号存在整数倍时延,其中缓存器1 的作用为补偿输入信号相对于反馈回路接收信号的整数倍时延; 在估计出整数倍延时的基础上启动内插同步环路,将缓存器3 中的数据进行内插同步处理,此时缓存器3 中的数据就起到减少内插处理时间和为内插处理提供数据缓存容量的作用,缓存器3中的数据经同步处理模块后输出内插恢复后的数据,系统在同步误差判定模块作用下,使同步处理模块的输出数据和缓存器1 的输出数据最终同步。需注意的是数据在同步处理过程中仅填充和使用预失真查询表而不进行预失真算法操作,直到同步完成后在同步误差判定模块的驱动下自适应算法模块才开启,查询表才根据自适应算法产生的相应参数进行更新操作。如图2 所示同步内插环路的数据处理部分又可以分为同步误差估计、内插滤波器、内插控制器、环路滤波器。

2. 2 自适应算法模块

本系统采用的是基于一维查询表的RASCAL算法[8],自适应算法的目的是要使两组信号之间的误差最小,误差为

式中: Vf'( t) ,Vi'( t) 分别为功放输出信号和预失真器输入信号; Ve为误差信号; K为功率放大器的线性放大倍数[9]。将误差信号表示成极坐标形式为

式中: ρe和 θe分别表示Ve的幅度和相位。

式( 2) 可改写成一组函数

自适应处理使用递归的线性收敛使式( 1) 表示的误差最小。预失真器的特性函数存储于两张一维查询表中,由幅度表 Ρ 和相位表 Θ 构成,则自适应算法的递归方程表示为

式中: 下标i为查询表中的第i项; α 、β 是该收敛算法的递归迭代步长,通过调节 α 、β 的值可以达到调节查询表收敛时间的目的。这样,由式( 5) 递归迭代,不断更新查询表的内容,以使预失真器的特性函数不断逼近HPA特性函数的“逆函数”。

2. 3 级联模块

由于自适应预失真系统中所采用的自适应预失真算法比较成熟,因此自适应系统的难点并不在此,而是将同步处理部分和自适应算法部分级联,如图1 所示,本系统在考虑实际系统中由于反馈回路噪声等因素的影响,内插同步处理只能使同步误差最终稳定在一个很小的范围内,并不能完全纠正系统延时,也即同步误差不可能完全消除的情况,为保证查询表有效刷新和系统稳定工作,本系统添加了同步误差判定模块以及查询表刷新处理模块,并引入了一种查询表刷新策略,仿真表明该部分的引入对考虑延时存在的情况下系统自适应有效运行是必不可少的。

2. 3. 1 同步误差判定模块

本系统考虑到将同步部分和自适应预失真算法部分级联中的实际情况,加入了同步误差判定模块。系统采用的是基于内插环路同步处理,系统在同步处理过程中,通过不断调节内插基点以及小数偏差,最终同步模块输出与自适应算法模块的输入信号基本同步的信号,但同步误差并不能完全消除。系统在同步基本完成后再进行自适应算法和内插同步共同处理,为使系统真正实现自适应处理的目的,故本系统引入一个同步误差判定模块,即系统在同步误差稳定的情况下,根据连续两次计算的环路滤波器输出的均方误差的差来判断定时同步环路是否锁定。均方误差的计算方法为

式中: lpi为环路滤波器的输出; 为环路滤波器输出的平均值; M为统计的帧数。设连续两次计算得到的均方误差分别为MSE1和MSE2,判定准则如下:

若|MSE1-MSE2|≤Th,则环路状态判定为收敛;若|MSE1-MSE2|>Th,则环路状态判定为未收敛,环路仍处于捕捉状态,其中Th为设定的门限。系统在同步误差进入收敛状态后进行同步与自适应算法共同处理。

2. 3. 2 查询表刷新判定模块

如前所述自适应系统中反馈回路的存在,为保证反馈信号的真实性,进行了必要的同步处理,但由于噪声以及非线性器件的影响,经同步处理后信号的同步误差不可能完全消除,因此本系统在充分考虑同步误差存在波动的情况下引入了查询表刷新判定模块和一种查询表刷新策略,通过判断数据在满足该策略的前提下才进行查询表的刷新操作,并不是将所有数据都用来更新预失真参数即查询表内值。

根据预失真原理,查询表收敛后应为功放特性曲线的反函数,则使预失真器有效的幅度查询表内值小于Vsat,Vsat为功放的输入饱和电压。相位查询表由于存放的是相位误差信号 θe,设功放输入饱和电压所对应的最大相位偏移为 θsat,则相位误差信号 θe值应小于 θsat且下限为0,这样信号经相位查询表后才可以抵消由功放造成的相位失真,结合式( 5) ,上述范围可表示为

由式( 7) 、( 8) 可知,通过提前判定查询表某一地址刷新后该处值是否位于上述区间内,若是则视该值有效,相应的查询表地址进行更新,若值超过该区间,相应的查询表地址处不进行刷新。该方法中幅度和相位查询表的刷新是相互独立的过程。

3 系统仿真

为验证所设计的自适应预失真功率放大器对OFDM系统的有效性,本文采用MATLAB2008a平台进行仿真。仿真采用基于国标的16QAM调制,SNR = 25,输入功率回退IBO =6,查询表LUT =256,AGWN信道,使用48 帧数据首先进行内插同步处理,而后进行自适应算法和内插同步共同处理,为系统仿真不失一般性,所采用的功率放大器为归一化Saleh模型。从图3 可以看出,在考虑时延存在的实际系统中由于同步误差不可能完全消除,加入查询表刷新判定模块对自适应系统有效工作是必要的。

从图3 中可以看出,自适应系统中加入查询表刷新判定模块后,信号经过自适应预失真处理可以有效改善功放非线性约17 d B,且该结果和信号理想同步情况下自适应RASCAL算法对功放非线性改善效果基本一致,若忽略系统同步误差波动的存在,直接进行自适应算法操作,即系统不添加查询表刷新判定模块,信号经过自适应系统后,功率谱没有改善反而出现了恶化的现象。图4 为整个自适应系统在添加查询表刷新判定模块前后星座图的比较,从图4 可以看出,加入查询表刷新判定模块的系统相比于未添加该模块的系统更好地改善星座图的扩散与旋转。从图3、4 中可以看出,自适应系统中反馈信号虽然经过内插同步处理但同步误差仍不完全为零,若忽略该误差的存在,直接进行自适应信号的处理,非但不能得到好的线性化改善效果,反而功放的功率谱泄露会更加明显。

系统在添加了查询表刷新判定模块后,可以保证预失真系统可靠运行,而未引入该模块却造成系统性能更加恶化,从同步误差中对这种现象进行解释。图5 为自适应系统在添加查询表刷新判定模块前后,系统的同步误差曲线。

由图5 中圆圈处可以看出系统由同步处理切换到同步和自适应算法共同处理过程中同步误差会出现较大波动,未加入查询表刷新判定模块的系统,该误差波动导致查询表某部分地址内的值出现错误,主要表现在幅度与相位查询表内值超出式( 7) 、( 8) 的区间,而查询表中超出该区间的值并不能由后续的参数更新得到矫正,反而情况更加恶化。而加入查询表刷新判定模块的系统,就可以避免这种情况的出现,只使用满足要求的数据进行表值的更新,保证了查询表内值的正确性,从而使系统在同步误差有波动的情况下有效工作。

本文设计的自适应预失真系统,考虑实际系统中反馈信号延时的存在,为保证自适应预失真系统的有效进行,必须首先对反馈信号的时延进行矫正,但考虑实际情况中系统噪声等因素的影响,同步误差不完全为零导致系统在同步和自适应算法处理的状态切换中,同步误差存在较明显波动,仿真表明若忽略误差波动的存在直接进行预失真操作,最终预失真系统并不能有效工作,因此本系统在进行预失真操作时进行了简单的查询表刷新判定,保证了预失真系统工作的有效性和可靠性。

4 小结

基带传输系统 篇7

本文通过对PRACH基带信号的研究与分析,给出了一种PRACH基带信号快速高效的实现方案[1,2],该方案主要包括:(1)频域随机接入前导的生成[3,4];(2)大素数的IDFT的快速实现方法[5]。通过理论分析与仿真,验证该方案的高效性,该方案已在TD-LTE射频一致性测试仪表中得到应用。

1 算法分析

PRACH基带信号生成的流程图如图1所示。

根据LTE的标准,时域上的PRACH基带信号定义为:

式(1)中0≤t

相关参数如表1。采样频率f=1/Ts,将t=i Ts带入S(t),S(t)的离散形式为:

xu,v(n)=xu((n+Cv)mod NZC),Cv为时域偏移量

根据DFT计算公式可知式(1)中

由LTE协议标准可知,采样率为1/Ts=30.72 MHz,NIDFT=1/(△fRATS),对于前导格式0-3,NIDFT=24 576,对于前导格式4,NIDFT=4 096。

对于DFT如果直接进行计算,每计算一个频域值,除了计算旋转因子的指数外,还要进行一次复数计算和NZC次的加法运算,计算量比较大,相对有效的办法就是采用快速傅里叶变换(FFT)进行计算,然而随机接入前导的长度为素数,并不能满足2的n次方的条件,无法直接采用FFT进行计算。因此需要对前导序列的DFT进行变换。

经过推导可以清楚地看出,计算每一个频域值只需要计算旋转因子的指数和做一次复数与实数的相乘,大大简化了计算的复杂度。

前导序列完成DFT计算后的长度为NZC,要进行24 576/4 096点的IDFT计算,需要在NZC点的DFT之后添加大量的0,前导格式4的IDFT运算的点数为4 096点,满足2的n次方,尚可以采用FFT进行计算。但前导格式0-3的IDFT运算的点数为24 576点,点数极大,且不能直接采用FFT进行处理。因此对24 576点的IDFT需要做一些处理。其中一种行之有效的方法就是:将大点数的IDFT分成若干个小的长度,且分成的长度较小点均能满足2的n次方,可以采用FFT进行处理。令m=a M+b,其中M=NIDFT/NIFFT为总组数,a=0,1,2…NIFFT-1为每一组中的索引指数,b=0,1,2…M-1为组的索引指数。

以前导格式0-3为例,NZC=839,将NIDFT=24 576分成24组NIDFT=1 024点的IFFT进行计算,因为NIFFT=1 024点的IFFT最接近NZC=839点,而且1 024点的IFFT可以采用基2或基4的IFFT进行实现。IFFT组输出与IDFT输出之间的映射关系如图2所示。

根据表2可以看出只有在前导格式3和4时,需要进行前导序列的重复,其他情况均无前导的重复。

设m=i-Tcp,m1=m+NIDFT,则有:

由上述推导可知,CP的插入实际上就是将生成的前导后面的一部分数据搬到前导的最前端,完成CP的插入。

2 PRACH基带信号的实现

PRACH基带信号实现的结构图如图3所示。

由DFT实现的推导式(14)首先将u-1提前存储在查找表中。为了节约存储空间,把雅各比符号δu代替αu存储在查找表中。根据存储表中的u-1和δu结合公式,计算DFT运算的相位Phase of DFT=[(u-1k(k+1)+(1-u-1)k(NZC+1)+αu+2k Cv)mod(2NZC)]/NZC,Cv是计算前导时的时域偏移量。把计算出的DFT相位存储在SRAM中,对NZC=839而言,存储空间为13 424 bit,每个相位占16 bit宽度,相比较由I和Q两路构成的复数数据而言,相位计算DFT的存储空间节约将近一半。

IDFT计算时,复数相乘和DFT添加0,采用DFT计算出来的相位加上2 kb/NIDFT后再进行相位到复数值的变换(PCU),在实数相位到复数值的变换时同时乘以DFT的幅度因子经过实相位到复数值变换单元后的数据通过一个由开关S的输入选择单元,当输入数据为0到NZC-1时,开关S置1,将DFT的数据传递给IFFT模块,当输入的数据为NZC到NIFFT-1时,选择开关S置0,对DFT数据进行添加0;进行IFFT计算。

IFFT的实现可以将输入数据取共轭,再做FFT运算,最后将输出的结果取共轭除以总点数就是IFFT的输出结果。因此可以采用FFT的模块来实现[6,7],如图4。

FFT算法基本可以分为两大类,即按时间抽取法和按频域抽取法[2]。按时间抽取法的输入是倒序的,输出是自然顺序的。本文采用时间抽取法的FFT的算法。用J表示当前倒序的十进制数值,对于N=2M,M位二进制数最高位的十进制权值为N/2,且从左向右二进制位的权值依次为N/4,N/8…2,1。因此最高位加1相当于十进制运算J+N/2。如果最高位是0(J

完成IDFT的数据进行时域频移,根据前导格式判断计算完成的前导序列是否需要进行重复,再根据式(17)和式(18)将计算的前导序列的CP长度序列复制搬移到前导的前端完成CP的插入,得到PRACH基带信号。

3 性能分析

本文从理论分析出发,根据PRACH基带信号的特点,给出了一种PRACH基带信号的生成实现方案。在DFT实现中,改进前的DFT计算的乘法运算次数和加法运算次数比改进的DFT计算的复数的乘法次数和加法运算次数多达703 082次。在IDFT的实现中,采用IFFT计算与直接进行IDFT的乘法次数的比较曲线如图5所示,由图5可以看出,采用IFFT的乘法计算量远小于直接进行IDFT计算,从而减少了PRACH基带信号实现的计算量,提高了整体的性能。能较好地满足LTE系统需要。该方案已应用于TD-LTE射频一致性测试仪表的开发中。

参考文献

[1]3GPP TS 36.211 v9.0.0 Evolved Universal Terrest-rialRadio Access(E-UTRA)Physical Channels and Modulation(Release 9)[S].2009-12.

[2]丁玉美.数字信号处理[M].西安:西安电子科技大学出版社,2002.

[3]POPOVIC B M.Efficient DFT of zadoff-chu sequences[J].Electronics.letter,2010,46(7):502-503.

[4]BEYME S,LEUNG C.Efficient computation of DFT ofzadoff-chu sequences[J].Electronics letter,2010,45(9):461-463.

[5]He Ying,so on.An efficient implementation of PRACHgenerator in LTE UE transmitters[C].in Wireless Communi-cations and Mobile Compution Conference(IWCMC),20117th international,2011:2226-2230.

[6]王林泉,皮亦鸣,陈晓宁,等.基于FPGA的超高速FFT硬件实现[J].电子科技大学学报,2005,34(2):152-155.

基带传输系统 篇8

关键词:复用传输,同轴电缆,基带信号,射频信号

0 引言

在通信系统中, 天馈和收发信机中间有较长的馈线, 一般采用同轴电缆;有时需要在天线和收发信机之间传输一些信息, 这些信息一般数据量不大, 如果单独另外铺设一条线路, 则成本较高, 且复杂度增大。因此有必要研究以同轴电缆为传输媒介, 在传输射频功率信号的同时, 传输低数据速率的附加信息。

1 方案设计

从现有的技术来看, 低数据速率的基带信号作为附加信息, 要和射频信号复用同轴电缆进行传输, 有频分复用、时分复用、码分复用三种方式[1]。其中, 码分复用需要对两路基带信号进行正交编码, 对本应用来说, 需要将射频信号解调, 得到基带信号, 然后与附加信号进行正交编码, 进行传输。这样一来, 硬件复杂程度大大增加, 因此码分复用不合适。时分复用是将附加信号和射频信号分时传输, 每次传输基带信号的同时, 射频信号要被切断, 这个在绝大部分场合是不允许的, 因此, 时分复用也不适合。频分复用是在发送端将附加信息的基带信号调制到一个与射频信号所占频带相隔很远的较低的频带上附加信息量较少, 其基带信号调制后进行传输, 占用带宽也较小;在接收端, 采用滤波器将射频信号和调制后的附加信号分离出来, 再进行解调, 得到附加信息。

对本应用来说, 采用频分复用的方法, 主要是选取一种合适的基带信号传输方式, 这种传输方式需具备以下特点:电路简单, 容易实现;可靠性高;容易组网。

电力线载波通信[2]是典型的频分复用系统。由于电力线载波通信要进行高速率的通信, 因此将基带信号调制到远比工频高的频段上。本应用中, 数据传输速率较低, 不低于1 Kb/s即可, 且要求低成本、低复杂度, 传统的电力线载波通信的解决方案不适合本应用。从本应用的需求可以看出, 需要寻找一种较为简单的现场总线标准, 这种总线要满足上述的三种特点。通过研究和对比常用的现场总线, 最终选取C-MBUS总线标准作为基带数据传输方式。C-MBUS是优倍公司在M-BUS (MeterBUS, EN1434-3) 的基础上改进形成的[3], 与M-BUS标准绝大部分都相同。M-BUS是消费类仪表国际通行标准, 其拓扑结构为总线结构, 采用普通的两芯电缆连接, 同时提供表计电源和数据通信的功能。M-BUS系统是一个带有通信控制主机的系统, 包括一个主机和多个从机, 主机和从机通过2根线连接起来, 所有从机并接在2根线上, 并可通过总线获取一定功率的电源。C-M-BUS主机与从机之间的通信只有在主机发出询问的情况下才能进行, 从机之间不能互相交换数据。C-M-BUS主机到从机为电压传输, 总线上的传输波形如图1所示。

从机到主机为电流传输。在主机端具有采样电路, 因此总线上也有对应电压信号, 如图2所示。

之所以这样设计, 是因为主机到从机采用电压传输, 便于从机电路的实现, 从机的接收电路使用比较器即可识别数据, 有利于降低从机成本;从机到主机采用电流传输是为了增加抗干扰能力, 因为低阻抗的传输回路能有效地降低外部干扰[4]。

基带信号的传输方式确定后, 要解决的是如何将基带信号加到传输射频信号的同轴电缆上。要保证两种信号互相不干扰, 需要一个隔离网络将两种信号隔离开来。在本应用中, 两种信号频率相差很远, 隔离网络相对来说比较容易实现, 可以采用LC低通网络来进行隔离。射频链路上一般都存在耦合电容, 这些耦合电容的值一般较小, 对基带信号来说, 呈高阻状态。因此, 基带信号对射频信号不会造成大的干扰, 隔离网络主要的功能是防止射频信号干扰基带信号。

2 电路设计

2.1 隔离电路

C-MBUS总线上传输的是数字脉冲, 要与射频信号在同轴电缆上传输, 需要增加低通隔离网络, 避免射频信号对C-MBUS总线信号的干扰。尤其是在射频信号功率较高的时候, 如在直放站功放输出端, 射频信号功率高达数十瓦, 对隔离网络提出了较高的要求。在本设计中, 采用高阻线加4阶LC低通网络将射频信号和基带信号隔离。LC低通中的电容取值不能太大, 也不能太小, 电容值太小, 则对射频信号呈现的阻抗不够低, 导致对射频信号隔离度不够;电容值太大, 对C-MBUS来说, 总线负载电容过大, 特别是当多个从机并联的时候, 严重影响通信速度, 甚至导致不能通信。经过调整优化, LC隔离网络中, 电感取100 n H, 电容取47 p F。

2.2 主机收发电路

主机收发电路由CMT100和其外围电路组成, 见图3。

CMT100是优倍公司开发的C-MBUS总线控制端通信专用集成电路[5], 完成数字通信的调制、解调、总线控制、总线电源供给、总线故障检测功能。考虑到主机电路复杂, 为增加主机抗干扰能力, 控制器应将总线驱动与单片机系统隔离, TXD, RXD, 收发控制经光耦直接输入芯片, 系统使用24 V电源。图3中, 主机输出采用推挽结构, 可以提供给从机较大电流。根据从机数量的多少来选取功率对管, 本文选用TIP42和TIP41, 可以为总线提供最大1 A的电流。24 V电源经过2 A自恢复保险丝给系统供电。

2.3 从机电路

从机收发部分电路由CMT001及外围电路组成如图4所示。

CMT001是优倍公司开发的与CMT100配合使用的C-MBUS总线设备端通信专用集成电路[6], 完成数字通信的调制解调、总线极性识别、低功耗线性稳压功能。总线信号通过整流桥直接输入芯片, 芯片RXD、TXD信号可直接输入单片机或通过光耦与单片机连接。在本应用中, 由于使用同轴电缆做传输介质, 无需总线极性自动反转功能, 因此总线信号没有经过整流桥, 而是经过隔离网络后直接输入至CMT0012脚。CMT001的RXD为开漏输出, 须外接上拉电阻, 电容C25为储能电容, 在总线电平为低的时候, 给从机提供电源, 此电容取值与从机消耗电流有较大关系。在本应用中, 电容取1 000μF, 可以提供10 m A的电流, 足以供从机其他部分电路使用。

3 通信协议

3.1 链路层设计

要保证通信的稳定可靠, 必须有完备的通信协议支撑[7]。C-MBUS只规定了物理层, 因此必须自己设计数据链路层。本文采用单片机作为数据收发器件, 单片机串口与CMT-001, CMT-100相连。串口参数设置为:波特率:4 800;数据位:8;校验位:无;停止位:2;数据格式:16进制。

串口数据收发的基本单位为B, 因此, 以字节为单位设计报文8。报文格式如下:

前3个字节为前导符FEH, 发送三个前导符的目的是为了建立稳定的总线状态, 同时为了让从机做好接收准备;接下来是起始符68H;然后是2 B的地址和2 B的数据, 地址里面包含设备描述符和设备地址, 数据里面包含操作命令等信息;最后是1 B的校验和, 校验采用异或校验。

3.2 网络层设计

在建立了稳定的数据链路层后, 有时候需要多个从机和一个主机组成一个一对多的通信网络, 这个时候, 需要一个网络层协议来保证通信可靠性[9]。由于C-MBUS从机之间不能互相交换数据, 所有数据交换必须通过主机, 这也降低了协议实现的难度。协议设计的基本思想为:主机依次轮询每个从机, 从机收到轮询后, 判断是否在询问自己, 若是, 则对轮询进行回复;否则, 不响应主机的轮询。主机在轮询时, 同时开启超时定时器, 如果在规定的时间未收到指定从机的回复, 则判超时;若一个从机节点多次超时, 则判定从机出故障。

4 性能测试

4.1 测试条件

无线通信中使用最多的同轴电缆为1 2英寸和7 8英寸电缆, 对C-MBUS来说, 主要的线缆参数包括电缆内导体电阻、外导体电阻和分布电容[10]。电缆的参数如表1所示。

从表1可见, 同轴电缆内导体和外导体的直流电阻很小, 对基带信号来说, 其影响可以忽略不计, 主要影响因素为分布电容。测试中不使用真实的线缆, 因为线缆直径较大, 且很长, 不好操作, 因此在测试中, 使用RC网络来模拟电缆。R代表电缆内外导体电阻, C代表电缆分布电容。测试中, 内外导体电阻忽略不计, 不需要R, 仅使用2个33 n F电容并联在总线上来模拟1 km电缆。

射频信号由信号发生器产生, 经功率放大器放大后, 加至被测线路, 线路末端接衰减器。用调节信号发生器来改变线路上射频信号功率。

4.2 测试结果

1 km模拟电缆上, 射频信号功率为40 d Bm, 波特率为4 800 b/s, 每个从机消耗电流为8 m A时, 主机可以稳定地与三个从机通信。

5 结语

本文提出了一种在同轴电缆上射频信号和基带信号复用传输的新方法, 采用C-MBUS现场总线标准, 通过合理地设计主从机电路、信号隔离网络和通信协议, 在传输功率为40 d Bm, 长度为1 km的同轴电缆上, 实现了4 800 b/s的传输速率, 并支持多个从机自由组网, 具有较高的实用价值。

参考文献

[1]PROAKIS J G.数字通信[M].张力军, 译.北京:电子工业出版社, 2003.

[2]万红, 吕明相, 冯向荣.电力线载波远程抄表通信技术的研究[J].微计算机信息, 2009 (7) :95-96.

[3]胡志华, 郭其一.M-BUS仪表总线原理研究[J].微计算机信息, 2005 (28) :83-85.

[4]宋鹏, 王俊杰.仪表总线M-BUS协议的研究[J].自动化仪表, 2004, 25 (8) :56-59.

[5]优倍电气股份 (南京) 有限公司.CMT001设计参考手册[DB/OL].[2006-04-10].http://www.anpe.cn/support.php?about=2.

[6]JIANG Wei, YIN Ping, CAO Li-ting, et al.On M-BUS communication protocol for intellectual heat meter in open control system[C]//7th World Congress on Intelligent Control and Automation.[S.l.]:WCICA, 2008:6911-6916.

[7]MOCZAR G, CSUBAK T, VARADY P.Distributed measurement system for heat metering and control[J].IEEE Transactions on Instrumentation and Measurement, 2002, 51:691-694.

[8]AQUAMETRO A G.Descriptiion of M-BUS protocol vol.01E[EB/OL].[2013-05-10].http://www.wenku.baidu.com/link?u.

上一篇:风险机制下一篇:数学导向性方法