基带处理系统

2024-07-27

基带处理系统(精选7篇)

基带处理系统 篇1

摘要:基于RapidIO协议提出了一种全新的可扩展的无线通信基带处理系统架构方案。通过与现有架构方案的比较, 方案在数据传输、灵活可移植性、布局布线等方面具有突出优势。实验验证了该架构的正确性和合理性, 而且该架构具有很强的实用价值。

关键词:RapidIO协议,高速接口,无线通信基带处理,系统架构

0 引 言

在现代社会里, 无线通信在很多领域扮演着重要的角色。为满足人们日趋多样化的通信需求, 无线通信技术不断地进行着革新, 以便得到更高的数据传输和处理能力。

然而, 随着超3G, 4G通信技术的演进, 单个DSP处理器件自身的处理能力已不能满足系统的需求。解决这一矛盾的有效途径是采用分布式处理。然而, 通常的基带处理系统架构[2,3], 其本身并不具备分布式处理能力, 并且这种架构存在着诸多弊端, 可升级性差。在系统设计时, FPGA和DSP的结构就已经固化, 这为后期功能的改变和性能的提升带来了很大的麻烦;系统的可移植性差, 无法在pico, micro和macro基站中使用同一种架构;这类架构通常会使用EMIF接口, EMIF接口会引入不确定性时延, 而基带处理算法对其具有敏感性;上行和下行处理在硬件上相分离, 系统成本高。

为了消除上述问题对无线通信技术发展的制约, 本文在对RapidIO协议及相关技术进行深入研究后, 基于串行RapidIO接口协议提出了一种新的基带处理架构。

1 RapidIO协议及关键技术的研究

作为一种基于可靠性的开放式互连协议标准, RapidIO以其高效率、高稳定性、低系统成本的特点, 为通信系统各器件间提供了高带宽、低延时数据传输的解决方案;同时, 其拥有支持点对点或点对多点的通信能力, 支持DMA操作和消息传递, 以及支持多种拓扑结构等特性, 为数据处理性能稳定快速地提升提供了强有力的保障。

1.1 RapidIO协议的研究

RapidIO的操作是基于请求和响应事务的。操作的发起器件产生一个请求事务, 该事务被发送至目标器件, 目标器件收到请求事务后会产生一个响应事务返回到发起器件, 从而完成该次操作。RapidIO协议的核心是包和控制符号。包是系统中器件间的基本通信单元, 它由事务和确保事务被准确可靠传送至目标端点所必需的位字段构成。控制符号用于管理RapidIO物理层互连的事务流, 也用于包确认、流量控制和维护。

RapidIO采用三层分级的体系结构[4]分别为逻辑层, 传输层, 物理层。如图1所示。逻辑层规范在最顶层, 定义了接口的全部协议和包的格式, 它为器件发起和完成事务提供必要的信息。传输层规范在中间层, 定义RapidIO地址空间和数据在器件间传输包所需要的路由信息。物理层规范位于整个分级结构的底部, 包括器件级接口细节。该体系结构最大的特点是不同的逻辑层和物理层都依靠同一公用传输层规范来连接, 它使得RapidIO具有很强的灵活可变性。例如, 在任意层对事务类型进行修改或增加都不会更改到其他层的规范。

1.2 RapidIO的关键技术

1.2.1 流量控制

RapidIO流量控制的首要目的是确保系统中数据流的平稳传递, 以及避免事务因为被堵塞而无法完成。RapidIO在链路级定义了三种流量控制机制:重传、减速和基于信用的流量控制。重传机制是最简单的机制, 接收方在因为资源缺乏而来不及接收包时, 会发出一个重传控制符号作为响应, 发送方接收到响应后将从该包处开始重传直到其被接收方接收。减速机制是接收方通过发送减速控制符号, 促使发送方在包间插入空闲控制符号, 以增加发包间隔, 从而达到降低发送流量的目的。基于信用的流量控制是接收方通过使用特定的控制符号向发送方指明每种事务流对应的缓冲空间信息, 发送方根据该信息决定是否发包。

1.2.2 错误管理

RapidIO的工作频率非常高, 而在高频率下工作很容易发生错误, 因此需要强大的错误覆盖机制, 使其从硬件上确保RapidIO能够准确地检测到错误, 并从中恢复。RapidIO发生的错误大体上可分为三类:第一类是接收方收到错误包;第二类是发生丢失事务错误;第三类是接口发生致命故障。RapidIO结合重传协议和循环冗余校验码提供了广泛的错误检测和恢复技术, 同时还使用控制字符和响应定时器来减小系统中漏检错误的可能性。

2 基于串行RapidIO的无线通信基带处理系统架构方案

本文基于串行RapidIO所提出的无线通信基带处理系统架构方案如图2所示。在该方案中, CPU完成控制信息的生成以及MAC数据的调度, FPGA和DSP完成基带数据的处理。各芯片均使用串行RapidIO与SRIO SWITCH芯片相连。

对于上行基带处理而言, 天线数据通过CPRI从射频板传输到基带板上, 经过CPRI与SRIO (串行Rapid IO) 的桥接器后由SRIO SWITCH交换到FPGA或DSP开始处理。上行基带处理通常需要在FPGA和DSP中进行FFT、信道估计、解调、解重复、解交织、解扰、译码以及数据校验等处理。这些处理可以根据其在FPGA和DSP中实现的难易程度以及资源消耗率对实现器件进行选择。经过校验后, 上行数据再通过SRIO SWITCH被发往CPU进行MAC层的处理, 处理完成的数据最后通过CPU的GE接口进入核心网。

对于下行处理而言, 下行数据通过GE接口进入CPU, CPU再将数据发往相应的处理器件进行处理。当处理器件完成对下行数据的编码、加扰、交织、IFFT等处理后, 再通过CPRI与SRIO的桥接器发送到射频板。

3 基于串行RapidIO的无线通信基带处理系统架构方案的优点及测试验证

基于串行RapidIO的基带处理系统架构与传统架构相比, 具有诸多优点, 本节将具体描述。同时, 为了验证所述优点以及系统架构的正确性, 对系统进行了硬件实现, 并在实现后的硬件上完成了相关的功能和流量测试。

3.1 优点分析

(1) 系统具有很强的灵活性和可扩展性

灵活性和可扩展性是该系统架构最大的优点。不同的通信协议, 其需要实现的功能以及数据的处理流程往往是不一样的。即使是同一种协议, 也会因为应用场景的不同而存在不同的需求。这些差异化的设计如果能在同一个硬件架构中实现, 将会为设计者带来巨大的便利。串行RapidIO是点对点的高速接口, 图2中各芯片可以通过SRIO SWITCH自由收发数据。同时, 连接到SRIO SWITCH的处理器件个数可以在一定范围内自由地增减, 因此该架构可以实现不同的拓扑结构, 以满足不同的设计需要。

(2) 任意两个芯片间都可以进行数据的高速低延时传输

串行RapidIO协议1.3拥有两种传输模式和三种传输速率。两种传输模式分别为1x和4x, 即发送和接收分别各有1对或4对差分线。差分线又有三种传输速率可供选择, 分别是:1.25 Gb/s, 2.5 Gb/s, 3.125 Gb/s。因此, 芯片间的采用4x模式 (1x模式) 进行信号传递的最大流量可以达到12.5 Gb/s (3.125 Gb/s) 。除去串行传输中的8 B/10 B编码开销、协议包开销以及控制符号开销后, 有效载荷流量可以达到9 Gb/s (2.3 Gb/s) 左右。9 Gb/s的流量可以轻松地满足现代通信系统的需要。

(3) 支持数据的分布式处理

随着第三代无线标准的发展演进所带来的更高的用户数据率, 基带处理系统对数据处理性能的要求也在持续增加。为了解决芯片处理能力不能满足系统发展需要的矛盾, 在该架构中引入了分布式处理技术。由于RapidIO支持组播功能, 数据可以通过图2中的CPRI与SRIO的桥接器或某个FPGA以组播的方式同时向多个DSP传送数据, 每个DSP会根据自身的控制信息对数据进行不同的处理, 完成处理后的各DSP会将数据发往同一个FPGA进行合并, 从而完成对数据的分布式处理。

(4) 上下行处理合并在同一个板上

将上下行处理合并在同一个板上是该架构的又一大特点。上下行的合并有利于对资源的充分利用, 同时也可以根据场景的不同灵活地分配上下行资源, 充分地体现了高性能、低消耗的特点, 使其具有很强的现实意义。

(5) 具有高稳定性和易于布局布线的特点

由于串行RapidIO提供了可靠的错误检测机制, 并且将传输时钟嵌入到数据中, 消除了数据与传输时钟之间的信号偏移, 因而使得芯片间的数据可以准确、稳定地传输。另一方面, 串行RapidIO即使工作在4x模式下也只需要19个引脚, 其低引脚数的特点使得各芯片在布局布线方面的复杂度显著降低, 变得十分简单。

3.2 测试验证

硬件实现图2所示的架构共使用了1片MPC8572 CPU, 2片VIRTEX-5LXT系列的FPGA (FPGA 1/2) , 3片TNS320TCI6488 DSP (DSP 1/2/3) 以及TSI578 SRIO SWITCH。其中, CPU和FPGA均采用3.125 Gb/s的4x模式;DSP则采用3.125 Gb/s的1x模式。

表1显示了多条数据通路同时进行数据通信的实测峰值流量。其中, 任意一条数据通路的发送流量与接收流量都是相等的, 由此可以证明该架构可以对数据进行可靠完整的传输。与此同时, 4x模式 (1x模式) 下的数据流量可以达到8.76 Gb/s (2.23 Gb/s) , 这与第3.1节中分析的最大9 Gb/s (2.3 Gb/s) 左右的流量相吻合, 也验证了该架构对数据的高速低延时传输特性。

为了验证该架构进行分布式处理的可行性, 特意在FPGA 1与DSP1/2/3之间进行了多播实验。实验结果如表2所示, 各DSP均能接收到来自FPGA 1的多播数据, 且各DSP的接收流量与FPGA 1的发送流量相同, 由此可以推断各DSP能完整接收FPGA 1发送的多播数据, 从而证明了分布式处理是可行的。

另外, 从测试结果可以发现, 不同的数据通路在同一时间段均能近似以最大流量的方式进行通信。这充分说明了该架构具有点对点灵活通信的特性。

通过以上的测试验证, 一方面证明了第3.1节中的优点分析是正确的。另一方面也证明了使用本文提出的架构方案完成各芯片间的数据传输是合理可行的。对于本文提出的架构而言, 各种拓扑结构均能通过RapidIO实现, 因此, 保证了数据在各芯片间能够自由可靠地传输, 确保了该架构能够很好地完成基带处理任务。

4 结 语

串行RapidIO是一种用于芯片或背板间互联的新型高速接口。本文提出的基于串行RapidIO的无线通信基带处理系统架构具有灵活、可靠、高性能等特点, 使其相对于传统的基带处理系统架构体现出了很强的优越性, 能够很好地满足无线通信技术的发展需求, 具有很长的生命周期和广阔的应用空间。

参考文献

[1]Sam Fuller.RapidIO The Embedded System Interconnect[M].北京:电子工业出版社, 2006.

[2]Bertan Tezcan, Bill Beane.Modular Baseband Design-enabling a Low Cost, Reusable Wireless Infrastructure[J].Portable Design Magazine, 2006, 113:22-25.

[3]Bertan Tezcan, Bill Beane.Modular Baseband Design-enabling a Low Cost, Reusable Wireless Infrastructure-Part II[J].Portable Design Magazine, 2006.

[4]RapidIO Trade Association.RapidIO Interconnect Specifica-tion[EB/OL].Rev.1.3.http://www.rapidio.org, 2005.

[5]White Paper.RapidIO Technology Solves the Communica-tions Fabric Conundrum[EB/OL].http://www.rapidio.org, September, 2005.

[6]Dan Bouvier.An Embedded System Component NetworkArchitecture[Z].RapidIO Technical Working Group, 2001.

[7]李和, 李思敏.高速基带匹配滤波器的FPGA实现及验证[J].现代电子技术, 2007, 30 (22) :154-156, 160.

基带处理系统 篇2

在TD-SCDMA无线接入网中, 将Node B分为基带池单元BBU (Base Band Unit) 和远端射频单元RRU (Remote Radio Unit) [1]。BBU和RRU之间的接口为光接口, 两者之间通过光纤传输IQ数字基带信号和OAM信令数据, 这种连接方式称为射频拉远。BBU和RRU的划分方式如图1所示, 基带、传输和控制部分在BBU中, 射频部分在RRU中。多个基带处理单元作为资源池, 可以灵活分配给本地和远程各站点不同扇区的载波。多个射频单元可以组成本地站点或多个远端站点。

以中兴的ZXTR B328为例, 具有容量大、集成度高的特点, 最大支持72载扇配置, 单层机框支持36载扇配置, 并可支持在线软件平滑升级, 支持从单载扇到72载扇的连续平滑扩容;通过光纤接口完成与RRU连接功能, 完成对RRU控制和RRU数据的处理功能, 包括信道编解码及复用解复用、扩频调制解调、测量及上报、功率控制以及提供同步时钟;通过Iub接口与RNC相连, 主要包括NBAP信令处理、FP帧数据处理、ATM传输管理;通过后台网管 (OMCB/LMT) 提供配置管理、告警管理、性能管理、版本管理、前后台通信管理和诊断管理等操作维护功能;提供集中、统一的环境监控, 支持透明通道传输;支持所有单板、模块带点插拔;支持远程维护、检测、故障恢复, 远程软件下载;提供N频点小区功能[4]。ZX-TR B328的系统组成如图2所示。

2. 常见故障告警处理

(1) BBU IR光模块收发异常告警

BBU与下级射频单元之间的光路的光信号收发异常, 导致下级射频单元RRU的光路中断, 承载的业务中断, 具体处理方法如下:如果是光路问题则现场检查BBU与下级射频单元RRU之间的光路, 重点排查两端的光纤、光模块是否存在问题;如果是电源问题则现场检查BBU链接的下级射频单元RRU是否掉电;如果是RRU问题则不排除RRU软件或硬件故障导致, 可尝试先复位RRU观察告警是否恢复, 不行则尝试更换。由于需要逐步排除光纤、光模块、RRU故障, 所以上站处理前建议携带上述备件, 其中特别注意光模块的型号与厂家要与现场情况一致。

(2) BBU IR接口异常告警

BBU与下级射频单元之间的光路的数据收发异常, 导致下级射频单元RRU的光路中断, 承载的业务中断, 具体处理方法如下:如果是光路问题则现场检查BBU与下级射频单元RRU之间的光路, 重点排查两端的光纤、光模块是否存在问题, 如果是RRU问题则不排除RRU软件或硬件故障导致, 可尝试先复位RRU观察告警是否恢复, 不行则尝试更换。由于需要逐步排查光纤、光模块、RRU故障, 所以上站处理前建议携带上述备件, 其中特别注意光模块的型号与厂家要与现场一致。

(3) BBU IR光接口性能恶化告警

BBU的IR端口上的光模块的接收或发送性能恶化, 严重时导致光路承载的业务质量严重下降或导致下级射频单元RRU的业务中断, 具体处理方法如下:现场检查BBU与下级射频单元RRU之间的光路, 重点排查两端的光纤、光模块是否存在问题, 如重新插拔故障端口上的光模块和光纤接头、更换光模块、光纤。由于需要逐步排查光纤、光模块, 所以上站处理前建议携带上述备件, 其中特别注意光模块的型号与厂家要与现场一致。

(4) 光模块混插告警

光纤两侧光模块规格不匹配, 可能导致接口通信异常甚至无法承载业务数据。此故障可能存在的原因及处理方法如下:更换光模块, 使同一光纤两端光模块两两配对。上站处理前可先在后台远程执行DSP OPINFO查询同一条光纤两端的光模块各类参数 (包括光模块支持的距离、传输码速率和工作波长) 是否相同。

(5) 单板心跳检测失败告警

主控板与其他业务单板 (包括射频单元RRU) 通信异常, 导致单板承载的业务中断。出现此类故障的可能原因及处理方法如下:上站处理前建议携带单板备件, 近端拔出并重新插紧告警的单板, 观察告警是否恢复, 然后近端拔出并重新插紧故障单板所在框内的主控板, 观察告警是否恢复, 近端更换故障单板, 近端更换故障单板所在框内的主控板。

(6) 单板硬件故障告警

单板重要器件故障, 导致单板无法正常工作, 承载的业务中断。出现此类故障的可能原因及处理方法如下:观察是否有单板温度异常告警, 按相关告警的处理建议排除故障, 然后远程下电复位故障单板, 观察告警是否恢复, 近端更换故障单板。上站处理前携带相应备件, 如需复位WMPT和UBBP单板, 有可能中断业务, 需在话务量较小时处理。

(7) 单板温度异常告警

单板长时间温度过高或过低可能导致单板无法正常工作, 当单板温度持续升高达到阈值 (95度) , 可能会引起单板下电, 单板承载业务全部中断。出现此类故障的可能原因及处理方法如下:有可能由于BBU风扇堵转导致, 近端拔出风扇清理粉尘, 插回后观察告警是否恢复;远程下电复位故障单板, 观察告警是否恢复;近端更换故障单板。上站处理前携带相应备件, 如需复位WMPT和UBBP单板, 有可能中断业务, 需在话务量较小时处理。

(8) 单板时钟输入异常告警

单板检测到输入时钟无信号时, 无法正常工作, 承载的业务中断。出现此类故障时, 首先与网管确认是否同时存在“单板硬件故障告警”, 如有, 则先处理该告警, 然后近端拔出并重新插紧告警的单板, 近端更换故障单板, 近端拔出并重新插紧故障单板所在框内主控板;近端更换故障单板所在框内的主控板。上站处理前携带相应备件, 如需插拔、复位或更换主控板, 会中断业务, 需在话务量较小时处理。

(9) BBU单板维护链路异常告警

主控板与框内其它单板管理链路异常, 故障单板可能无法正常工作。导致此类故障的可能原因与处理方法如下:上站处理前建议携带单板备件, 首先近端拔出并重新插紧告警的单板, 观察告警是否恢复;近端拔出并重新插紧故障单板所在框内的主控板, 观察告警是否恢复, 近端更换故障单板, 近端更换故障单板所在框内的主控板。

3. 结论

TD站点的常见故障有BBU IR光模块收发异常, IR接口异常告警, 光接口性能恶化告警, 光模块混插告警, 单板心跳检测失败告警, 单板硬件故障告警, 单板温度异常告警, 单板时钟输入异常告警和BBU单板维护链路异常告警等, 此类故障在处理时首先需要处理告警单板, 然后再对故障单板所在框内的主控板进行重启复位, 一般情况下故障都能得到解决。

参考文献

[1]中兴通讯股份有限公司.TD-SCDMA无线系统原理与实现[M].北京:人民邮电出版社, 2007.

[2]彭木根, 王文博.TD-SCDMA移动通信系统[M].北京:机械工业出版社, 2006.

[3]李立华.TD-SCDMA无线网络技术[M].北京:人民邮电出版社, 2007.

[4]黄一平.TD-SCDMA基站运行与维护[M].北京:科学出版社, 2010.

[5]左飞.大话TD-SCDMA[M].北京:人民邮电出版社, 2010.

[6]杨丰瑞, 文凯, 李校林.TD-SCDMA移动通信系统工程与应用[M].北京:人民邮电出版社, 2009.

一种北斗接收机基带处理设计方案 篇3

对于卫星导航系统接收机小型化、集成化及低成本的研究, 直接影响到北斗卫星导航系统终端产品的市场应用和产业化发展, 有利于北斗卫星导航接收机向低成本、便携式、通用型产品转化, 促进北斗卫星导航接收机的市场化和产业化发展, 对未来市场应用领域的推广也奠定了良好的技术基础。

1 工作原理

北斗接收机设备系统包括天线组件、接收机组件、控制显示组件三部分。接收机组件包括射频通道单元、基带信号处理单元、导航信息处理单元、电源单元及数据总线。射频通道单元为基带信号处理单元提供稳定的中频模拟信号, 以进行采样和离散处理。主要包括:本振, 频率综合器, 下变频器, 滤波器, 放大器和自动增益控制等。基带信号处理单元主要对射频通道输出的中频模拟信号的采样数据实现数字正交下变频, 完成捕获、跟踪, 并对可见卫星信号进行解扩解调。导航信息处理完成导航测量, 并且提取导航电文中的导航信息, 进行差分处理、完好性监测、导航解算, 实现高精度、高可靠性的导航 (PVT) 功能。

在接收机组件中, 卫星信号通过射频通道单元的处理, 中频模拟信号由A/D模块进行采样输入至基带单元进行数字正交下变频处理, 原理框图如图1。

在基带信号处理单元内, 数字正交下变频主要是将高速数字中频信号经数字下变频器 (DDC) 的变频、抽取和低通滤波处理之后变为低速的基带信号, 生成I路、Q路两路数字零中频信号, 交由相关通道进行捕获、跟踪处理。

基带信号处理器内有12个并行的独立数字相关通道同时进行双正交变换, 解扩、解调, 伪距测量、载波多普勒频率提取。基本观测量通过总线接口送入导航信息处理单元, 用于后续的导航定位解算。

每一个卫星相关通道处理方法和结构基本相同, 主要包括:载波NCO (数控振荡器) , 码NCO, 码生成器, 相关器, 积分清零等。相关通道可以分成捕获和跟踪两个功能模块, 主要实现三个功能:卫星信号的捕获, 跟踪, 测量。

2 基带信号处理方案

2.1 C码捕获

扩频码的捕获是一个二维搜索过程, 本地信号必须同时在扩频码相位和载波频率二维空间中进行搜索以实现卫星信号的捕获。传统的扩频码捕获方式有串行载波搜索方式和并行载波搜索方式, 其内部按照扩频码搜索方式的不同又各不相同。串行搜索方式硬件资源比较少, 捕获速度慢;并行搜索方式则以大量的硬件资源换取了较快的捕获速度。

北斗接收机接收信号采用QPSK调制[10], 信号上调制有C码扩频码。本方案中, 采取了分段匹配滤波+FFT频率估计的捕获方式[4,5]。

该算法的基本原理是:读取本地扩频码分成k个数据段, 每段M位, 预置到k个M位的匹配滤波器中;接收数据逐位输入到匹配滤波器中与预置的本地扩频码进行分段相关运算[8];相关运算的结果送入到FFT运算器中进行FFT变换, 从FFT周期图中进行捕获判断并读出载波频率参数并反馈到载波NCO进行载波频率校正;从而在进行码相位搜索的同时也进行了载波搜索, 将捕获的二维搜索变成了码相位上的一维搜索, 大大提高了捕获速度。

FFT变换的意义是从频域上对信号进行分析[4]。一个固定频率的正弦 (余弦) 信号在频谱图上是一条单一的谱线;高斯白噪声含有所有的频率, 因而FFT变换后是无限宽的频谱。当本地扩频码和接收序列扩频码相位对齐的时候, 完成扩频码的剥离;剥离扩频码之后的信号是基带数据符号调制的近似固定频率的正弦 (余弦) 信号, 且此时信噪比由于经过了解扩处理, 其值较高, 则该信号经过频谱变换后在频谱图上是一条单一的谱线, 非理想情况下, 是一束窄带谱线;由于信噪比较高, 该谱线的幅度明显超过了其他噪声谱线。根据谱线的幅度峰值就可以进行码相位对齐和载波频率的估计, 完成扩频码的捕获。

本地扩频码数据段划分需考虑多个因素并折衷处理。扩频码捕获是在低信噪比环境下进行, FFT变换很难估计到正确的载波频率[3];为提高信噪比以得到近似正确的载波频率估值, M值取得越大越好, 对应于积分时间越长;如果不改变硬件资源量, M值增大则会使k变小, 从而降低频率分辨率;k和M的取值需在两者间进行折中。在强信号下, M值取得小一些以获得较高的频率分辨率;弱信号下, M值应该大一些, 牺牲一些频率分辨率以提高信噪比进行载波频率估计。如果M值增大同时保持k值不改变, 则会使用较多硬件资源, 从而趋近于并行搜索的方式。

Tong搜索算法是一种次最佳搜索算法。在检测到初始捕获信号之后, 进入捕获验证。此时在一个搜索单元内进行相关检测并进行比较, 如果超过门限则计数器增1, 否则计数器减1;然后将计数器与计数门限 (0或者A) 比较, 如果等于A则判断为捕获, 如果等于0则判断为没有捕获。

2.2 码和载波跟踪

2.2.1 码跟踪

码和载波的跟踪环路就是跟踪卫星到接收机的动态视距所引起的载波多普勒和码相位的变化, 保证在时变情况下接收通道的处理增益, 从而能够正确解调出导航电文数据[5]。此外, 在正常的跟踪状态下, 对码相位的测量可用于确定码伪距, 而载波环则可以给出更加精确伪距测量和多普勒频率。因此, 码和载波跟踪是电文正确解调和基本观测量精密提取的重要保障[2]。

卫星信号搜索捕获之后, 剩余工作交由二个主环路进行:码跟踪环路、载波跟踪环路。在二个环路并行交替作用下, 通道完成信号的解扩解调, 并实现码伪距及多普勒频率的测量。

码跟踪环路 (DLL) 用于使本地码相位跟踪并对准接收信号的伪码相位, 以实现被选卫星发射扩频信号的解扩和伪距的测量。伪码延时锁定环路工作时并不要求接收信号载波的相位、频率被精确跟踪锁定, 在较大的范围内 (几百赫兹) 就可以工作, 这种延时锁定环路称为非相干环。

2.2.2 载波跟踪

载波跟踪的目的是跟踪输入载波并产生载波相位测量值, 通常有两类载波跟踪环:相干跟踪环, 用于实现载波的相位锁定, 即锁相环 (PLL) ;非相干跟踪环, 用于实现载波的频率锁定, 即锁频环 (FLL) 。PLL通常适合低动态环境, 而FLL可以提供更好的动态性能, 鲁棒性以及抗干扰能力。

为了满足高动态应用环境的要求, 载波频率的跟踪采取了2阶FLL辅助3阶PLL的载波跟踪环形式。其工作过程是:首先闭合FLL, 断开PLL, 在较宽的范围内搜索载波频率, 将载波频率牵引到PLL跟踪环路的线性范围内;然后断开FLL, 闭合PLL, 对于载波信号的相位进行精确的调整, 实现载波环路的精确跟踪。环路一旦失锁, 则重新闭合FLL, 重复上述过程。

此外, 在实现载波锁定的情况下, FLL的频率输出用于辅助码跟踪环, 使其适应接收机的动态变化。3阶环的主要特点是对加速度应力不敏感, 但对于加加速度敏感。

载波跟踪的时候首先进行混频, 经过滤波, 去掉接收信号与本地复现载波信号的和频信号, 获取其差频信号, 再经过鉴频鉴相获得频率差和相位差信息[9]。本方案中, 由于去掉标称载波频率后的信号中只含有载波多普勒频率, 其值比较小, 而如果不滤除该信号, 则会对扩频码捕获和跟踪造成包络调制影响。这里采取了双正交变换, 利用三角函数的变换公式, 成功地去除了该信号, 获得差频信号。

3 结语

本文分析了北斗接收机基带信号处理的工作原理, 确定了基带信号处理的设计方案。针对基带信号捕获, 本文运用分段匹配滤波+FFT频率估计的捕获方式进行设计实现;信号的跟踪, 包括伪码跟踪环和载波跟踪环两个模块, 码跟踪环采用非相干延迟锁定环方式, 载波跟踪环采用相干跟踪环+非相干跟踪环结合的方式, 从而实现了对导航观测量信息的提取, 并完成导航观测量提取设计和实现。

通过接收机基带信号处理的研究, 为研制北斗卫星导航系统接收机提供了相关基带信号处理的理论参考, 同时也为北斗接收机模块化、小型化提供了一个参考方案。

参考文献

[1]刘基余.GPS卫星导航定位原理与方法[M].科学出版社, 2003:16-18

[2]李晨航.卫星导航接收机基带信号跟踪环路的FPGA实现[J].导航, 2009, 45 (4) :41-43

[3]覃新贤.GPS软件接收机中的一种实用高灵敏度快速捕获算法[J].电子学报, 2010 (1) :99-104

[4]刘亿民, 宋学瑞.基于FPGA的GPS信号快速捕获方法实现[J].全球定位系统, 2006, 31 (6) :42-46

[5]廉保旺, 赵楠, 王永生.北斗卫星定位算法研究[D].西北工业大学, 2007

[6]陈涛.GPS接收机基带信号处理的研究和设计[D].上海交通大学, 2009

[7]魏秀启, 郑维广, 隋绍勇.北斗导航定位接收机的原理及硬件实现[J].设计参考, 2009, 11 (4) :37-43

[8]张正, 张其善, 寇艳红.GPS接收机基带信号处理模块的FPGA实现[J].遥测遥控, 2006, 27 (4) :14-17

[9]王蝉.GPS软件接收机基带处理算法的研究和实现[D].上海交通大学, 2008

基带处理系统 篇4

“超宽带”一词包含了许多的概念,包括脉冲无线电、无载波调制、非正弦信号和大相对带宽比的无线/雷达信号等。直到1989年,才以“超宽带”统一称呼具有大相对带宽比的无线电信号。2002年2月,美国联邦通信委员会(FCC)批准限用于军用雷达的超宽带(UWB)技术可用于民用产品上,同年四月批准将3.1 G H Z和10.6GHZ之间的免授权频段分配给UWB使用,自此这项技术开始引起业界广泛关注[1,2,3]-[3]。

随着传感器技术和通信技术的发展,无线传感器网络技术因为其应用的广泛性而得到越来越多的高度重视。无线传感器网络在环境监控、军事应用、工业自动控制、家庭电子设备互连等许多领域都具有广泛的应用前景。可以说无线传感器网络是信息获取和收集的一场革命,是2 1世纪最终的信息技术之一。将冲激U W B技术的优势应用到传感器网络中,使得传感器网络的复杂度、功耗、成本大为降低。同时,UWB技术还为传感器网络提供精确定位[4][5]。

2 UWB系统方案设计

2.1 发射端设计

发射部分如图1所示,发射部分又分为编码,组帧PPM调制等部分。其中信源数据是任意定义的一组数据,因为只要完成发射和接收系统的功能就可以[6]。各部分功能如下:

(1)数据编码的主要作用在接收时能够减少系统的误码率,并且构成发射机与接收机之间的协议。

(2)在组帧的过程中同步头的作用是在接收过程中完成数据的识别,帧保护码则是为了延长帧与帧之间的时间,从而保证每一帧都能有足够的时间处理,不出现帧丢失的现象。

(3)超宽带PPM调制部分则是生成PPM脉冲,以便把数据以脉冲位置的形式发射出去。

2.2 接收端设计

通常为了达到信号要求,还要用伪随机码或伪随机噪声对数据符号进行编码。香农在证明编码定理时,提出用具有白噪声统计特性的信号来编码,其瞬时值服从正态分布,功率谱在很宽的频带内都是均匀的,具有极其优良的相关特性。由于硬件无法实现对白噪声信号的放大、调制、检测、同步及控制等,本文利用具有类似于带限白噪声统计特性的伪随机信号来逼近它。信号调制数学描述(方程式)如下:

式中:S(k)(t)表示位置调制后输出的纳秒级脉冲信号;k表示PPM伪随机码系统中唯一确定的PN码序列代号;cjk是代号为k的PN码序列的实际序列;dk表示数据码序列;cT为PN码所控制的脉冲时延偏移单位;Tf是无调制时码元的重复周期δ为信息码控制的附加时延,当信息码为‘1’时有附加时延,当信息码为‘0’时,无附加时延;不难看出在信号调制过程中包括两种时延调制,即PN码延时(ckjcT)和数据码延时(δdk)。

发射机与接收机的设计在遵循理论的条件下,还需要考虑其应用的背景,从而建立对应的协议。在设计的过程中主要考虑了两种应用背景:

第一种是发射机是广播式的发送模式,也就是说发射机一直发送,而接收机在任意时刻开启,接收到的信号位置是不确定的,又由于这是一种高速的数据传输。在选取硬件的时候,时钟先选的20MHZ,按这种方式设计,就必须分频,降低了传输速率[7][8]。

第二种则是接收机一直开着,而发射机在任意时刻发送。在发射机发送数据前发射机的状态时确定的,在发送数据时,这种确定的状态就被打破,用以提示接收机数据的到来。从而达到数据不丢失的效果。而且这样设计的时候在时钟确定的情况下,速率降低的不是特别多。因此选择这种方式比较合适。

2.3 数据帧结构

编码方式:

把一个数据周期分成了4个时隙,当第1个时隙为高电平其他时隙为低电平的时候代表‘0’。当第3个时隙为高电平其他时隙为低电平的时候代表‘1’。

例如:0 1 1 1_1 1 1 1经过码变换可以成1000_0010_0010_0010_0010_0010_0010_0010。

3 系统方案的实现和测试

3.1 发射端调试

(1)发射部分的设计,以达到脉位调制的效果,如图6所示。

(2)FPGA上的设计:

在设计的时候主要把一个数据周期分成了4个时隙,当第1个时隙为高电平其他时隙为低电平的时候代表‘0’。当第3个时隙为高电平其他时隙为低电平的时候代表‘1’。于是在结构上把发射部分分成了原始数据、码变换和脉冲发生器三个部分。如图7所示。

其中分频器f r e是为了与后面解调匹配而加上去的。(内部已经包含协议的建立)

原始数据的定义:

对应代码产生的仿真效果如图8所示。

在示波器上看到的效果如图9所示(仿真波形和实际的波形对应起来的)。

在产生脉位调制的波形后,接下来就是完成脉位调制的解调。由于脉位调制对每个周期时间点的要求非常严格,因此在设计的过程中首先在同一时钟的情况下模拟脉位调制与解调的异步通信。

根据初步建立的协议,必须识别每一帧数据的帧头才能识别到数据位的到来。再设计每一帧的时候为初步实现通信功能,每一帧的数据结构安排如图1 0所示。

3.2 接收端设计与调试

(1)对脉冲结构的分析

一个数据周期占用了四个时钟周期,然后按前面所述的方法对数据位进行编码。由于数据位中不可能出现四个以上的连1。于是选定在识别了6个连1的情况下出现了低电平来判断数据的到来,从而为脉位调制的解调做准备。

(2)整体调制与解调仿真

模块连接如图11所示。

在解调的时候关键是对数据的解调,由于脉位调制其数据意义是由脉冲在每个周期中的位置决定的,因此用计数器的方式进行识别,但必须做到在数据脉冲到来的时候,对每个周期的中的脉冲位置进行判别。解调的仿真结果如图12所示。

图13是针对上面数据解调后输出的结果。

3.3 系统分析

本系统设计时采用的20M晶振,再经过8分频对发射系统进行激励。而每个码元占4个时隙。脉冲则是这4个时隙中的一个。

脉冲持续时间:tp=820M(s)=0.4µs。一个码元所占时间宽度:tc=1.6µs。

在测试的过程中,由于是基带信号的传输,所以没有出现误码的情况。

4 结束语

本论文叙述了本次设计的整个过程,从理论分析、系统设计、硬件设计和调试。利用PPM方式实现了数据的基带发送和接收,并且实现了基于本次设计的硬件上的数据传输。系统实现了基本的通信功能,但还需要一些改进。本次设计的编码部分构建了基本的通信协议。从理论角度来说,其误码率在随机信道中会急剧恶化,因此还需要做相关的研究,以减少随机信道中传输时的误码率。并且还需要研究射频端,以实现超宽带无线传输的前端发射。

参考文献

[1]马明,沈越泓.超宽带脉冲无线通信系统中的同步技术[C].全国超宽带无线通信技术学术会议,2005.

[2]苗剑.超宽带(UWB)无线通信技术[M].西安:西安电子科技大学,2004.

[3]刘已斌,赵惠昌.PPM伪随机码及其应用[J].现代雷达,2004,26(2):23-26.

[4]黄智伟.FPGA系统设计与实践[M].北京:电子工业出版社,2005.

[5]任品毅,廖学文,梁中华译.超宽带通信原理及应用[M].西安:西安交通大学出版社,2007,8.

[6]朱刚.超宽带(UWB)原理与干扰[M].北京:清华大学出版社;北京交通大学出版社,2009,4.

[7]张聪,申敏.UWB技术及其在家庭网络中的应用[J].通信技术,2008,(6):210-212.

基带处理系统 篇5

从GPS系统的建立到现在, GPS接收机随着电子工业发展而经历了巨大的演进。GPS接收机刚建立时采用的是模拟信号处理技术, 用微处理器仅进行应用计算, 这种接收机体积大且功耗高。随着数字处理技术和数字集成电路的发展, GPS接收机中的模拟信号处理技术己经被数字信号处理技术所取代。现代GPS接收机通常采用专用集成电路进行信号处理, 并且随着算法越来越复杂, 芯片的规模也越来越大, 这使得芯片在tape_out之前的验证工作越来越重要。而搭建验证环境是芯片验证工程师最基本的工作。

本文主要描述GPS基带处理芯片验证环境中参考模型部分的设计。之所以选择C语言来设计是因为它对算法的描述更接近于底层, 而且通用性好, 基本上可以在任何验证环境下运行。整个设计是在Visual C++6.0的软件环境下实现。

(一) 芯片验证原理

目前在百万门级以上的ASIC、IP和SOC设计时代, 验证消耗整个设计努力的70%左右, 验证做得好坏已经成为芯片开发的瓶颈, 所以它有足够的理由来引起我们的重视。验证环境是指用于芯片顶层功能验证的各个组件的集合。如下图所示, 验证环境的总体功能就是根据测试用例的要求Generator自动生成所需的激励信号, 然后分别将信号送入DUT (待测模块) 和Reference Model (参考模型) , 激励信号分别经过处理后进入Checker (比对模块) , 通过对比结果来检查芯片的设计是否满足功能需求。

(二) GPS接收机原理

GPS接收机的基本功能是接收GPS卫星信号、为用户提供数据观测量、解调导航电文、实现导航解算, 为用户提供定时、定位和测速服务。一般导航用GPS接收机功能结构框图如图2所示。根据各部分的工作特点, 可将之分割成四个功能模块, 即GPS信号接收模块、射频前端模块、基带信号处理模块和应用导航解算模块。

因为本文是设计GPS基带芯片的验证环境, 所以我们只关注基带处理模块。基带处理模块按功能主要分为两部分:捕获和跟踪。捕获是利用伪随机码良好的相关特性, 检测本地C从码和卫星信号伪随机码的相关输出。对某一颗可见卫星, 当本地C/A码的码相位、本地载波的频率和输入信号中的码相位以及载波频率相匹配时, 有最大的相关值。本地C/A码的码相位与输入信号中的码相位在任何一边的偏移超过一个码元时, 有最小的相关值。当检测到最大相关值时便达到了捕获的目的。捕获到GPS卫星信号后, 可以得到输入信号中载波Doppler频移值和码相位的估计值。这时接收机可转入跟踪状态, 跟踪环路的作用是跟踪码相位变化和载波Doppler变化, 从而实现接收机本地复现信号同输入信号的准确同步。跟踪码相位变化和载波Doppler变化是由于卫星和接收机之间相对运动带来的。跟踪环路实现对GPS信号的跟踪以后, 即可提取准确的观测量和导航电文信息, 从而进行用户的PVT解算。GPS信号跟踪中包括两个环路:伪码跟踪环和载波跟踪环。伪码跟踪环跟踪GPS信号中C/A码的相位变化, 而载波跟踪环实现对载波频率、相位的跟踪。

(三) 设计实现

GPS接收机的算法有很多种, 为了可以对芯片设计子模块也进行结果比对, 本设计采用与芯片设计相同的算法实现。

1. 捕获部分

为了跟踪GPS信号并进行信息解码, 就必须先用捕获程序来检测信号的存在, 并将参数传递给跟踪环节。GPS信号的搜索主要是利用了伪随机噪声码的良好的自相关性, 当本地复现码与输入信号的码元完全对准时, 出现一个自相关峰值;随着码元的相位偏差越来越大, 两者的相关峰值逐步降低;当两者的偏差超过一个码元时, 两者相关值基本为零。为了捕获到GPS卫星信号, 需要同时复现卫星和载波, 由于多普勒效应, 载波L1的频率会在标称频率基础上产生偏差。码元和频率的复现是通过一个遍历的搜索过程来实现的。GPS信号的捕获算法主要分为两种:串行时域滑动相关捕获算法和并行频域捕获算法。

(1) 串行时域滑动相关捕获算法

该算法首先在一定的范围内任意选定一个载波频率, 在这个载波频率下将本地C/A码序列和输入的信号相乘并进行一个C/A码周期 (lms) 或者更长时间的累加, 并记录累加结果。然后移动一定数目的C/A码码元 (通常为1/2个C/A码元的整数倍) , 更改C/A码码相位重复上述过程。当所有可能的C/A码码相位都搜索完毕并记录累加结果后, 则换一个载波频率重复上述过程, 直至所有的C/A码码相位和载波频率 (多普勒频率范围内) 都全部搜索完毕。将所有对应于不同频率和C/A码码相位所得到的累加结果进行比较, 如果其最大值大于设定的门限, 则认为捕获到信号, 最大值所对应的C/A码码相位和频率值即为捕获到的结果, 然后接收机切换到到码跟踪环路和载波跟踪环路。如果其最大值小于设定的门限, 则认为这个周期捕获信号失败, 需要加载一个另一颗卫星的C/A码重新进行捕获。

该搜索方法的优点是结构简单清晰, 易于实现, 缺点是搜索耗时较长, 一般的硬件接收机采用此种算法。

(2) 并行频域捕获算法

在时域滑动相关捕获方法中, 捕获过程就是复现不同码相位本地C/A码和不同频率的本地载波, 然后与输入信号做相关取最大值的过程。时域滑动相关捕获方法需要的计算量较大, 运行时间长。频域快速捕获算法, 将时域大量的相关运算变换到频域的简单的乘法运算, 然后再通过逆傅里叶变换 (IFFT) 得到时域的相关运算结果, 可以极大的减少运算量, 提高捕获速度。

两个信号x (n) 和y (n) 相关的时域和频域表示分别为:

两个信号x (n) 和y (n) 卷积的时域和频域表示分别为:

两个信号的相关运算和卷积运算在频域上是基本一致的, 只是其中一个信号互为共轭而已。频域快速捕获算法正式利用这一性质。

本设计正是采用并行频域捕获算法, 算法实现流程如图3所示。

数字中频信号经过RF模块下变频至1.405MHz, 并以5.714MHz采样频率进行采样。本设计数据来自于Matlab的Simulink仿真产生的理想数, 按照GPS信号的调制方式生成的数据存入到文件中。算法的实现过程描述如下。

读入1ms数据存入数组中, 因为采样率为5.714MHz, 所以1ms为5714个数据。本地生成载波序列, 载波序列同样是以5.714MHz来采样, 由于GPS信号的Doppler效应, 所接收到的GPS信号的载波频率在中心频率左右±10KHz范围内变化, 为了使捕获所得到的结果能够尽快的进入跟踪, 载波频率的搜索步长取175Hz。将含有5714个数据的载波序列与读入序列相乘再进入低通滤波器得到I、Q两路信号, 由于接下来要对复信号进行FFT变换, 5714点的FFT或者IFFT计算量巨大, 而且5714点并非基2或者基4, 考虑到1024点FFT的可行性, 把5714点的数据下采样到1024点, 然后FFT变换到频域。

弌本地生成所要捕获卫星的C/A码序列, C/A码的频率为1.023MHZ, 1ms有1023个数据, 这也不是基2或者基4, 所以先将这1023个数据上采样到5714, 然后再下采样到1024。也同样对这1024个数据做FFT变换, 变换到频域后的复信号取共轭。

FFT的整个过程就像公式 (1) ~ (4) 描述的那样, 有时域的卷积转换成频域的序列相乘, 将两个序列相乘后再做IFFT变换回时域, 然后对I、Q两路计算平方和, 并与预先设定好的门限比较, 超过门限代表捕获成功, 捕获过程输出当前载波频率和C/A码相位, 送给后续跟踪部分。如果当前载频没有超过门限的数据, 载波频率增加175HZ重复上述过程直到捕获后退出捕获模块, 如果以175HZ为步长遍历所有频率没有捕获到卫星, 中断程序, 报告捕获失败。

2. 跟踪部分

捕获到GPS卫星信号后, 可以得到输入信号中载波多普勒频移值和码相位的估计值。这时接收机可转入跟踪状态, 跟踪环路的作用是跟踪码相位变化和载波多普勒变化, 从而实现接收机本地复现信号同输入信号的准确同步, 提取准确的观测量和导航电文信息, 进行接收机导航解算。信号的跟踪过程主要包括两部分:即信号的载波同步、码同步, 分别通过载波跟踪环和码跟踪环来实现。算法实现流程如图4所示。

跟踪部分使用的数据通捕获部分一样是由MATLAB生成的理想数据。读取1ms数据, 由之前捕获部分获得的载频生成载波序列与读入的数据相乘去载波并获得I、Q两路数据。捕获程序确定了C/A码的起始位置。码环产生超前和滞后的C/A码序列, 这两种码序列是由即时C/A码经过时移得到的, 本地C/A码就是即时C/A码, 由捕获程序确定。将超前、即时和滞后的码序列分别与I、Q两路数据相乘并做累加, 累加后得到IP、IL、IE、QP、QL、QE六个数据, P、E、L分别代表即时、超前和滞后数据[10]。将六个数据送入码鉴相器, 经过鉴相器的判断调整即时C/A码的相位。

为了能够从信号中提取出所需要的导航数据, 就必须要知道准确的载波信号。为此常用载波锁相环 (PLL) 或者锁频环 (FLL) 来实现。常用锁相环存在致命的缺点在于其对180度的相位翻转敏感, 然而由于导航数据而造成的相位翻转是必然的, 因为在一般情况下, 输入的中频信号经过载频和码信号剥离后, 50Hz的导航电文数据调制信号依然保留, 导航电文可能每隔20ms, I路和Q路的信号可能会产生180度的相位翻转。因此, 对数据调制不敏感的科斯塔斯 (costas) 环被用于GPS接收机载波跟踪中。将IP和QP两个数据做反正切运算, 得到采样的中频信号的初相与载波初相的相位差, 并且可由相位差得到频率差, 进而得到修正后的载波频率。

(四) 功能验证

如前所述, 本设计数据源来自于MATLAB的理想数据, 设定信号载频为1.411MHZ, CA码序号为7, 相位偏移为230个码片。将数据输出RM中, 由于MATLAB绘图比较方便, 并且效果直观, 将捕获部分数据再送入MATLAB, 最终捕获结果与设定一致。MATLAB输入效果如图5所示。

(五) 结论

本文使用C语言实现了对GPS基带处理部分的功能。目前大多数软件接收机的架构都采用MATLAB直接实现, MATLAB的优点是实现简单, 运算能力强, 有很多模块可以直接拿来使用。但是由于MATLAB的可移植性不强, 目前大多数芯片设计公司的设计工作都是在LINUX系统下进行, 还是非常有必要用C语言这种通用性强, 移植性好的方法来设计验证环境中的参考模型。

摘要:文章研究了基于C语言的GPS基带处理芯片验证环境的设计, 对芯片验证理论进行了简要介绍, 对传统时域滑动捕获算法和基于FFT的并行频域捕获算法进行了介绍, 并对FFT的并行频域捕获算法的详细实现过程进行了描述。跟踪部分采用超前—滞后跟踪环对C/A码进行跟踪, 采用科斯塔斯环对载波进行跟踪。使用MATLAB对设计进行了验证。

关键词:芯片验证,FFT频域捕获,超前—滞后跟踪环,科斯塔斯环

参考文献

[1]Elliott D.Kaplan, Christopher J.Hegarty.GPS原理与应用[M].北京:电子工业出版社.2007.

[2]JANICK B.SystemVerilog验证方法学[M].北京:北京航空航天大学出版社, 2007.

[3]朱群, 郑林华.一种基于FPLL的载波跟踪算法[J].电子工程师.2004, 30 (12) :30-33.

[4]张勇, 林宝军, 徐志瀚.软件GPS接收机信号搜索捕获的仿真实验研究[J].系统仿真学报, 2006, v18 (9) :2646-2649.

基带处理系统 篇6

近年来, 移动通信呈快速发展趋势, 第4代移动通信 ( 4G) 已进入商用阶段, 随之而来的是对移动终端处理能力的要求越来越高。传统的移动终端由通用的处理器和硬件加速器构成, 这种结构的灵活性差, 升级困难。而基于通用DSP的灵活的软基带处理方案虽已大量应用于基站, 但对于严格功耗受限的移动终端并不适合。因此低功耗高性能的移动终端软基带处理器是当前移动通信的一个研究热点。

早在2003年Intel就推出了3G的基带信号处理器Sandblaster, Sandblaster采用单通路的SIMD处理单元, 动态多发射的形式。2007年密西根大学提出了SODA ( Signal - processing On - Demand Architecture) 处理器[1], SODA是SIMD和VLIW相结合的处理器, 矢量的宽度为32个16bit的定点数, 一次可发射一条SIMD指令、一条标量指令和一条地址指令。2008年ARM在SODA上改进推出了Ardbeg[2], Ardbeg一次可发射两条SIMD指令, 并采用Banyan交换网络。2009年密西根大学提出了AnySP[3]作为4G和高清视频处理器, AnySP共有8个矢量处理单元, 每个矢量处理单元的宽度是8个16bit的定点数, 可适应矢量化程度不同的算法。

与之前的处理器不同, 本文提出了一种基于SIMD和VLIW混合结构的矢量处理器架构作为LTE终端软基带解决方案, 该方案充分考虑了电路开销、处理器性能、平滑升级等因素, 如: 采用受限访问的寄存器组以降低电路开销、分组的系数存储器提高灵活性; 针对FFT和VITERBI译码的数据交换专门设计了SHUF和ISHUF交换指令等。

2 LTE 基带算法并行性分析

目前主流的无线通信系统, 如802. 11n、LTE A等, 其物理层均采用多发多收 ( MIMO) 和正交频分复用 ( OFDM) 的方案, 具有运算量大的特点, 对处理器性能要求很高。图1是一个典型的MIMO +OFDM系统的接收流程图。

从图1可以看出LTE等系统的基带算法主要包括有限脉冲响应 ( Finite Impulse Response, FIR) 滤波、同步、FFT、信道估计、MIMO检测以及信道译码等。下面我们针对这些基带算法简要的分析其并行性, 这里的并行性包括两个层次: 一是算法本身的并行性; 二是算法作用在不同数据上的任务的并行性。

1) FIR和同步: 这两类算法的计算模式主要是移位相关。如FIR是一个2层循环, 通过展开内层或外层循环即可实现FIR的并行化, 文献[4]分析了FIR的在SSE ( Streaming SIMD Extensions) 指令集上并行实现的方法。

2 ) FFT: FFT和其逆运算IFFT可用于实现OFDM的解调与调制。FFT的运算流程的规则性使得FFT较易的矢量化实现, 文献[5]给出了128点的FFT在SSE指令集上的矢量化实现方法。

3) 信道估计与MIMO检测: 在MIMO - OFDM系统中, 通过发送端插入的导频与本地存储的导频相乘来估计信道H, 再把接收到的数据与H的逆相乘来得到原来发送的数据。单频点上的计算流程虽然并行度不高, 但由于各频点的运算具有独立同构性, 因此可利用多频点任务的并行性。

4) 信道译码: 现有的移动通信系统一般采用卷积码、Turbo码或LDPC码等。文献[6]给出了Turbo码译码在SODA上的矢量化实现。文献[7]给出了Viterbi译码在SSE指令集上的实现。这些文献指出实现译码算法的并行性的关键在于处理好矢量元素的交换。

基于已有文献调研和基带算法分析, 面向LTE基带算法具有高度的并行性, 采用矢量处理器实现软基带是一种可行的解决方案。该矢量处理器应具备以下特性: ( 1) 多发射和硬件循环; ( 2) 矢量的算数运算; ( 3) 矢量元素交换; ( 4) 矢量存储器。

3 处理器的结构

本节我们提出了一种SIMD和VLIW混合结构的矢量处理器方案。其总体架构如图2所示, 其中虚线部分为控制通路, 实线部分为数据通路。控制通路完成读取指令、指令译码和程序转移控制, 数据通路完成数据的运算和存取。

3. 1 控制通路

为有效提高指令的存储密度, 处理器采用了折中的变长VLIW指令字的方式和硬件循环。

3. 1. 1 变长的 VLIW 指令字

多发射的方式便于利用指令的并行性, 一般来说控制类的处理器会采用动态多发射, 而数据处理类的处理器则采用静态多发射。本文采用VLIW指令字, 最大并行度为8。VLIW的指令有定长和变长两种方式, 其中定长VLIW指令字在指令的并行度不高的时候需添加许多NOP占位[8], 而变长VLIW指令字的方式会因为执行包 ( Execution Packet, EP) 跨越存储器边界而增加指令读取的复杂度。本文采用了一种折中的方式, 即当某个EP会跨越存储器边界时, 则把它放到下一个存储单元去。以3个EP分别包含2、4、4条指令为例, 3种方式的对比如图3所示, ( a) 为定长的指令字, 需要很多NOP占位; ( b) 为变长指令字, EP3跨越存储器边界; ( c) 是折中的变长的指令字, 没有EP跨越存储器边界的问题。

3. 1. 2 硬件循环

基带算法中, 由于大量数据的处理流程相同, 因此其对应的程序跳转大多是在编译时就已知, 这类跳转可通过硬件循环的方式来提高效率。图4给出了硬件循环的实现方式, 通过增加3个寄存器: LS ( Loop Start Address) 指向循环开始的地址, LE ( LoopEnd Address) 指向循环结束的地址, LC ( Loop Counter) 指示需要循环的次数。在取指令的时候通过检查LC和LE的值来实现自动跳转。

3. 2 数据通路 ( DP)

3. 2. 1矢量功能单元

处理器有7个矢量功能单元, 其中包括2个矢量的算术逻辑运算单元 ( valu1, valu2) , 可实现矢量的加、减、移位以及各种逻辑运算; 2个矢量乘法单元 ( vmul1, vmul2) , 可实现矢量的乘法运算; 2个矢量数据存取单元 ( lsm1, lsm2) 用于处理数据的存取;1个矢量系数读取单元 ( lm_e) 用于矢量系数的读取。

3. 2. 2 矢量数据的交换

SIMD处理器中存在着大量矢量内部元素交换的操作[9], 一般而言全交换网络可完成所有的交换形式, 但全交换网络的面积和延时很大。本文通过对需要矢量元素交换的算法进行了分析, 如FFT和Viterbi译码算法, 详见第3节, 发现这两类算法只需要SHUF ( 如图5 ( a) ) 和ISHUF ( 如图5 ( b) ) 的交换形式即可实现。不失通用性, 我们在SHUF之后也加入了交换, 图5 ( c) 给出了最终的交换结构, 图中矢量宽度选取M = 8, 在实际设计的处理器M为16。该交换功能单元可完成两个矢量的交换, 也可复用该结构完成一个矢量的交换, 复用形式如图中阴影所示。

3. 2. 3 寄存器端口的分配和共享

我们设计的处理器共有16个矢量寄存器, 考虑处理器有7个矢量功能单元, 因此一共需要11个读端口和7个写端口。如果每个读写端口均可对寄存器组任意访问, 如图6 ( a) 所示, 那么每个读端口就需要1个16选1的多路选择器 ( MUX) , 而写端口前要有1个7选1的MUX, 同时每个写端口需要1个1分16的分路器 ( DEMUX) , 这样连线和多路选择器会占用很大的面积同时导致大延时[10]。为解决该问题, 本文采用了读写端口受限访问的方式, 即每个端口只可以访问部分寄存器。通信基带算法中数据的流程一般是从存储器读入, 经过若干加减、比较、乘法等运算, 再存回到存储器里面去, 所以在限制寄存器访问的同时要满足这个运算流程。

每个端口的受限方式如图6 ( b) ( c) 所示。采用这种方式仍然有11个读端口, 不过需要的是11个8选1的选择器。对于012489AB寄存器写端口前需要一个2选1的MUX, 对于寄存器4567CDEF的写端口前需要1个3选1的MUX。实验测试表明这种方式把寄存器组的面积减少了1/3。

图 6 ( a) 全访问的方式资源占用多 ( b) 、 ( c) 受限访问的方式

3. 3 存储器系统

存储器分为数据存储器和系数存储器, 如图7 ( a) 。数据存储器有2个独立的读写端口, 系数存储器只可读。系数存储器采用分组的方式[11], 每个分组有各自单独的地址线, 如图7 ( b) 这样增加了系数读取的灵活性, 这个特性目前主要用在FFT的旋转因子的读取和用矢量寄存器做地址查表。

图 7 ( a) 存储器系统 ( b) 分组的系数存储器

4 FFT 和 VITERBI 算法在矢量处理器上的实现思路

4. 1 FFT 的实现

4. 1. 1 蝶形运算的矢量化

FFT的蝶形运算本身具有很好的并行性, 便于使用SIMD指令实现[5]。对于N点的FFT来说, 每一级有N/2个蝶形可以同时计算。在SIMD宽度为M时, 采用基2频域抽取 ( DIF) 算法, 前log2N log2M级运算可以直接映射到矢量运算, 后log2M级需要对矢量元素进行重排。N =16点的FFT其运算流程如图8所示, 线上的数据是旋转因子exp ( j2π /N) 的指数。

通过对算法的分析, 添加了一条SHUF指令可以在最后的log2M级高效地完成元素的重排操作。以SIMD宽度M =8为例, SHUF指令的源操作数和目的操作数是2个, 功能如图5 ( a) 所示。

使用了SHUF指令后的16点的FFT运算数据流程图如图9所示。第1级参与蝶形运算的两个数直接在两个矢量寄存器里, 第2、3、4级在运算开始前需要经过SHUF指令对元素进行重排。

4. 1. 2 旋转因子的存取方案

如果没有特别的旋转因子的存取方案, 将会使旋转因子需要重复存储多份, 不同间隔的在各级使用, 然而可以利用系数存储器的分组特性使得不用存储很多份旋转因子。以N =128点基2的DIF FFT在M = 4时为例, 即存储在4个分组的存储器中。蝶形运算有S0~ S6共7级, 一共有64个旋转因子, 把64个旋转因子分为B0~ B3共4个块。每个块16个, 分为4行4列, 每列对应存储器的一个分组Bi块的每行循环右移mod ( r + i, M) 个后存放, 其中r是行标。4个块的存放方式如图10所示。

由于存储器的分组特性, 只要不在同一列 ( 即同一个存储器分组) 的数就可以同时读出, 再利用矢量元素的移位和交换就可以实现各级的旋转因子的读取。图 ( b) 给出了一 个旋转因 子矢量e-j2π / N ( 8, 10, 12, 14) 的读取方法。

4. 2 VITERBI 运算的实现

VITERBI译码分为3个步骤: 1) 计算分支度量;2) 加比选更新状态度量、记录幸存路径; 3) 回溯得到信息比特。其中前两个步骤可以并行化实现, 而第3个步骤是串行的。以LTE中poly2trellis ( 7, [133, 171, 165]) 的卷积码的译码在SIMD的宽度M = 16时为例讲解其并行化实现。下面给出了分支度量计算和加比选更新状态度量的流程。卷积码有64个状态, 大于SIMD的宽度M = 16, 同时是M的整数倍, 所以可以充分的利用并行性。

4. 2. 1 分支度量的并行化计算

以分支0的度量计算为例, 计算公式为 ( 1) :

B ( i) = C0* O0 ( i) + C1* O1 ( i) + C2* O2 ( i) 其中i是状态的标号, 从0到63; B是分支0的度量;C0、C1和C2是接收的3个bit的软信息; O0、O1、O2是分支0的正确输出, 在M =16时的矢量化计算如图11所示, 读入3个待译码的数据C0、C1、C2做矢量扩展后分别与预存的O0、O1、O2相乘再加起来就得到了各个状态的0分支的度量。

4. 2. 2 蝶形加比选更新状态度量

卷积码的格型图中每个新的状态由2个竞争路径而来, 更新方法由公式 ( 2) 给出。

其中i是状态标号, 从0到31; SN是更新的状态度量; S是上一次的状态度量; B0是0分支的分支度量; B1是1分支的分支度量。

在M = 16时的矢量化实现方式如图12所示, 状态度量S0到AS31分别加上各自的分支0的分支度量, 得到参与竞争的状态度量, 由于一个矢量里面相邻的两个元素要参与比较, 所以需要通过ISHUF网络, 然后通过比较选择得到新的状态度量, 通过比较得到最优路径记录, 存回存储器在回溯阶段使用。

5 实现与性能

本处理器采用处理器设计语言LISA ( Languagefor Instruction Set Architecture) 设计完成, 并在FPGA上实现, 在Xilinx的Virtex6Lx204t上占用约50%的LUT, 时钟频率可以达到100MHz。完成2048点的FFT需要大约5600个时钟周期, 完成信息比特长度为N的1/3卷积码的VITERBI译码需要约30* N个时钟周期。

TI的C6000是应用很广泛的一个DSP系列, 本矢量处理器以C64作为参考对象对比了两种典型的算法的执行周期数, 如表1、表2所示。

6 结束语

MIMO - OFDM是现有无线通信系统普遍采用的方案, 具有大的运算量的特点, 本文首先分析了基带算法的并行性, 并以此设计了矢量处理器并行处理大运算量。该处理器结合了VLIW和SIMD各自的优点, 可同时利用算法的数据并行性和任务并行性; 在交换方式中设计了SHUF和ISHUF交换指令可高效的完成FFT和VITERBI译码算法; 在寄存器组访问方式方面提出了受限访问方式可在满足性能的前提下大幅度减小寄存器组的面积; 系数分组存储有效解决了FFT的旋转因子在分组存储器里面的存取。最后该矢量处理器在FPGA上实现验证了其性能和可行性。

参考文献

[1]Lin Y, Lee H, Woh M, et al.Soda:A low-power architecture for software radio[C].ACM SIGARCH Computer Architecture News.IEEE Computer Society, 2006, 34 (2) :89-101.

[2]Woh M, Lin Y, Seo S, et al.From SODA to scotch:The evolution of a wireless baseband processor[C].Microarchitecture, 2008.MICRO-41.2008 41st IEEE/ACM International Symposium on.IEEE, 2008:152-163.

[3]Woh M, Seo S, Mahlke S, et al.AnySP:anytime anywhere anyway signal processing[C].ACM SIGARCH Computer Architecture News.ACM, 2009, 37 (3) :128-139.

[4]Shahbahrami A, Juurlink B, Vassiliadis S.Efficient vectorization of the FIR filter[C].Proc.16th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC) .2005:432-437.

[5]Xu W, Yan Z, Shunying D.A high performance FFT library with single instruction multiple data (SIMD) architecture[C].Electronics, Communications and Control (ICECC) , 2011 International Conference on.IEEE, 2011:630-633.

[6]Lin Y, Mahlke S, Mudge T, et al.Design and implementation of turbo decoders for software defined radio[C].Signal Processing Systems Design and Implementation, 2006.SIPS'06.IEEE Workshop on.IEEE, 2006:22-27.

[7]Tseng S M, Kuo Y C, Ku Y C, et al.Software viterbi decoder with SSE4 parallel processing instructions for software DVB-T receiver[C].Parallel and Distributed Processing with Applications, 2009 IEEE International Symposium on.IEEE, 2009:102-105.

[9]Zhang K, Chen S, Liu S, et al.Accelerating the data shuffle operations for FFT algorithms on SIMD DSPs[C].ASIC (ASICON) , 2011 IEEE 9th International Conference on.IEEE, 2011:683-686.

[10]Lin T J, Chang C C, Lee C C, et al.An efficient VLIW DSP architecture for baseband processing[C].Computer Design, 2003.Proceedings.21st International Conference on.IEEE, 2003:307-312.

基带处理系统 篇7

关键词:OFDM,同步误差波动,自适应处理

正交频分复用( OFDM) 由于频谱利用率高、抗多径衰落能力强、抗噪声干扰能力强等优点在WLAN,DAB,DVB,HDTV等通信系统中得到了广泛的应用。但是,OFDM系统在子载波相位一致时,由于输出信号的叠加造成OFDM系统较高的峰值平均功率比,使得信号对发送端系统中功率放大器的非线性十分敏感,功放的非线性会带来信号的带内失真和带外失真,带内失真主要表现为信号幅度和相位的失真,就QAM信号来讲,主要是星座图的旋转和弥散。带外失真主要表现在信号频谱扩展,对邻近信道造成干扰[1]。因此对OFDM系统发送端功率放大器的线性化技术的研究就显得十分重要[2]。目前针对功放的线性化技术包括功率回退技术、前馈线性化技术、笛卡尔后馈技术、非线性器件技术以及数字预失真技术,而数字预失真技术以其无稳定性问题、精度较高、适应宽带通信等优点前景最好,其中基于查询表的数字预失真技术又具有实现较为简单、资源消耗较低等优点,因此本文选择基于查询表的自适应预失真实现技术。

本文首先简单介绍了OFDM系统中自适应预失真处理单元,在此基础上设计了一种基于同步恢复环路的查询表自适应预失真器,通过仿真表明系统在考虑存在环路延时的情况下,通过环路同步处理和自适应预失真算法可以有效地改善因功放非线性带来的系统性能下降。

1 OFDM系统自适应预失真的基本模型

如图1 所示为OFDM系统自适应预失真[3]处理的基本模型,如图所示整个预失真系统可以分为3 个部分: 自适应算法[4,5]部分、环路延时估计[6]部分以及预失真查找表。自适应预失真系统中自适应算法比较成熟,而难点在于考虑环路延时的存在,将自适应算法和环路延时估计有效地结合起来,并完成查询表的有效刷新,最终保证整个系统级联后预失真能有效改善信号因功率放大器非线性造成的失真,提高整个系统的效率。

2 系统设计

功率放大器是OFDM系统中主要的非线性器件,本文采用基于输入信号幅度索引的一维查新表的预失真技术来消除功放的非线性,自适应预失真功率放大器系统结构如图1 所示,自适应预失真系统可大致分为5 个主要部分,分别为同步处理模块、同步误差判定模块、自适应算法模块、查询表刷新判定模块以及预失真查询表。

2. 1 同步处理模块

由于自适应预失真算法中需要反馈回路提供接收信号,因此反馈信号的真实性在很大程度上将影响自适应算法的正确性以及系统工作的有效性和稳定性。而反馈回路中接收的信号需经过变频和模数处理等,反馈信号和原始输入信号相比不可避免地会存在时延和一定的噪声,因此自适应系统有必要首先纠正反馈信号中的时延,在延时得到矫正的前提下预失真操作才可以有效进行。同步处理模块的目的就是纠正信号中存在的延时。其中同步处理可分为整数倍延时估计部分和小数倍延时估计部分[7],同步处理模块简单工作流程如图2 所示。

系统开始后先初始化数据,同步处理模块首先进行整数倍延时估计,缓存单元2、3 分别( 图1) 存储一帧输入数据和经过PA后并进行AD下变频接收的一帧数据,利用数据相关的方法,将预失真器的输出信号和反馈回路接收的信号进行相关,通过检测相关峰值,估计出反馈信号存在整数倍时延,其中缓存器1 的作用为补偿输入信号相对于反馈回路接收信号的整数倍时延; 在估计出整数倍延时的基础上启动内插同步环路,将缓存器3 中的数据进行内插同步处理,此时缓存器3 中的数据就起到减少内插处理时间和为内插处理提供数据缓存容量的作用,缓存器3中的数据经同步处理模块后输出内插恢复后的数据,系统在同步误差判定模块作用下,使同步处理模块的输出数据和缓存器1 的输出数据最终同步。需注意的是数据在同步处理过程中仅填充和使用预失真查询表而不进行预失真算法操作,直到同步完成后在同步误差判定模块的驱动下自适应算法模块才开启,查询表才根据自适应算法产生的相应参数进行更新操作。如图2 所示同步内插环路的数据处理部分又可以分为同步误差估计、内插滤波器、内插控制器、环路滤波器。

2. 2 自适应算法模块

本系统采用的是基于一维查询表的RASCAL算法[8],自适应算法的目的是要使两组信号之间的误差最小,误差为

式中: Vf'( t) ,Vi'( t) 分别为功放输出信号和预失真器输入信号; Ve为误差信号; K为功率放大器的线性放大倍数[9]。将误差信号表示成极坐标形式为

式中: ρe和 θe分别表示Ve的幅度和相位。

式( 2) 可改写成一组函数

自适应处理使用递归的线性收敛使式( 1) 表示的误差最小。预失真器的特性函数存储于两张一维查询表中,由幅度表 Ρ 和相位表 Θ 构成,则自适应算法的递归方程表示为

式中: 下标i为查询表中的第i项; α 、β 是该收敛算法的递归迭代步长,通过调节 α 、β 的值可以达到调节查询表收敛时间的目的。这样,由式( 5) 递归迭代,不断更新查询表的内容,以使预失真器的特性函数不断逼近HPA特性函数的“逆函数”。

2. 3 级联模块

由于自适应预失真系统中所采用的自适应预失真算法比较成熟,因此自适应系统的难点并不在此,而是将同步处理部分和自适应算法部分级联,如图1 所示,本系统在考虑实际系统中由于反馈回路噪声等因素的影响,内插同步处理只能使同步误差最终稳定在一个很小的范围内,并不能完全纠正系统延时,也即同步误差不可能完全消除的情况,为保证查询表有效刷新和系统稳定工作,本系统添加了同步误差判定模块以及查询表刷新处理模块,并引入了一种查询表刷新策略,仿真表明该部分的引入对考虑延时存在的情况下系统自适应有效运行是必不可少的。

2. 3. 1 同步误差判定模块

本系统考虑到将同步部分和自适应预失真算法部分级联中的实际情况,加入了同步误差判定模块。系统采用的是基于内插环路同步处理,系统在同步处理过程中,通过不断调节内插基点以及小数偏差,最终同步模块输出与自适应算法模块的输入信号基本同步的信号,但同步误差并不能完全消除。系统在同步基本完成后再进行自适应算法和内插同步共同处理,为使系统真正实现自适应处理的目的,故本系统引入一个同步误差判定模块,即系统在同步误差稳定的情况下,根据连续两次计算的环路滤波器输出的均方误差的差来判断定时同步环路是否锁定。均方误差的计算方法为

式中: lpi为环路滤波器的输出; 为环路滤波器输出的平均值; M为统计的帧数。设连续两次计算得到的均方误差分别为MSE1和MSE2,判定准则如下:

若|MSE1-MSE2|≤Th,则环路状态判定为收敛;若|MSE1-MSE2|>Th,则环路状态判定为未收敛,环路仍处于捕捉状态,其中Th为设定的门限。系统在同步误差进入收敛状态后进行同步与自适应算法共同处理。

2. 3. 2 查询表刷新判定模块

如前所述自适应系统中反馈回路的存在,为保证反馈信号的真实性,进行了必要的同步处理,但由于噪声以及非线性器件的影响,经同步处理后信号的同步误差不可能完全消除,因此本系统在充分考虑同步误差存在波动的情况下引入了查询表刷新判定模块和一种查询表刷新策略,通过判断数据在满足该策略的前提下才进行查询表的刷新操作,并不是将所有数据都用来更新预失真参数即查询表内值。

根据预失真原理,查询表收敛后应为功放特性曲线的反函数,则使预失真器有效的幅度查询表内值小于Vsat,Vsat为功放的输入饱和电压。相位查询表由于存放的是相位误差信号 θe,设功放输入饱和电压所对应的最大相位偏移为 θsat,则相位误差信号 θe值应小于 θsat且下限为0,这样信号经相位查询表后才可以抵消由功放造成的相位失真,结合式( 5) ,上述范围可表示为

由式( 7) 、( 8) 可知,通过提前判定查询表某一地址刷新后该处值是否位于上述区间内,若是则视该值有效,相应的查询表地址进行更新,若值超过该区间,相应的查询表地址处不进行刷新。该方法中幅度和相位查询表的刷新是相互独立的过程。

3 系统仿真

为验证所设计的自适应预失真功率放大器对OFDM系统的有效性,本文采用MATLAB2008a平台进行仿真。仿真采用基于国标的16QAM调制,SNR = 25,输入功率回退IBO =6,查询表LUT =256,AGWN信道,使用48 帧数据首先进行内插同步处理,而后进行自适应算法和内插同步共同处理,为系统仿真不失一般性,所采用的功率放大器为归一化Saleh模型。从图3 可以看出,在考虑时延存在的实际系统中由于同步误差不可能完全消除,加入查询表刷新判定模块对自适应系统有效工作是必要的。

从图3 中可以看出,自适应系统中加入查询表刷新判定模块后,信号经过自适应预失真处理可以有效改善功放非线性约17 d B,且该结果和信号理想同步情况下自适应RASCAL算法对功放非线性改善效果基本一致,若忽略系统同步误差波动的存在,直接进行自适应算法操作,即系统不添加查询表刷新判定模块,信号经过自适应系统后,功率谱没有改善反而出现了恶化的现象。图4 为整个自适应系统在添加查询表刷新判定模块前后星座图的比较,从图4 可以看出,加入查询表刷新判定模块的系统相比于未添加该模块的系统更好地改善星座图的扩散与旋转。从图3、4 中可以看出,自适应系统中反馈信号虽然经过内插同步处理但同步误差仍不完全为零,若忽略该误差的存在,直接进行自适应信号的处理,非但不能得到好的线性化改善效果,反而功放的功率谱泄露会更加明显。

系统在添加了查询表刷新判定模块后,可以保证预失真系统可靠运行,而未引入该模块却造成系统性能更加恶化,从同步误差中对这种现象进行解释。图5 为自适应系统在添加查询表刷新判定模块前后,系统的同步误差曲线。

由图5 中圆圈处可以看出系统由同步处理切换到同步和自适应算法共同处理过程中同步误差会出现较大波动,未加入查询表刷新判定模块的系统,该误差波动导致查询表某部分地址内的值出现错误,主要表现在幅度与相位查询表内值超出式( 7) 、( 8) 的区间,而查询表中超出该区间的值并不能由后续的参数更新得到矫正,反而情况更加恶化。而加入查询表刷新判定模块的系统,就可以避免这种情况的出现,只使用满足要求的数据进行表值的更新,保证了查询表内值的正确性,从而使系统在同步误差有波动的情况下有效工作。

本文设计的自适应预失真系统,考虑实际系统中反馈信号延时的存在,为保证自适应预失真系统的有效进行,必须首先对反馈信号的时延进行矫正,但考虑实际情况中系统噪声等因素的影响,同步误差不完全为零导致系统在同步和自适应算法处理的状态切换中,同步误差存在较明显波动,仿真表明若忽略误差波动的存在直接进行预失真操作,最终预失真系统并不能有效工作,因此本系统在进行预失真操作时进行了简单的查询表刷新判定,保证了预失真系统工作的有效性和可靠性。

4 小结

上一篇:深层文化下一篇:大学物理探究式教学