基带系统

2024-08-02

基带系统(共7篇)

基带系统 篇1

CDMA即码分多址技术。该技术为每个用户分配各自特定的地址码,利用各地址码的相互正交性实现选址。也由于该技术是用地址码来区分用户,所以它对频率、时间和空间没有特定的限制,在这几个方面通信是可以重叠的。FPGA (Field Programmable Gate Array)即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。MAX+plusⅡ是业界公认的最优秀的CPLD、FPGA等器件的开发平台之一。

(一)CDMA数字基带收发系统模型设计

在实际通信领域应用的CDMA系统,是一个相当复杂的系统,但如果就发送方和接收方来对CDMA系统进行划分,它至少含有上行和下行的链路。其中由移动台发向基站的无线线路,称为上行链路;由基站发向移动台的无线线路,称为下行链路。不论是上行链路还是下行链路都是由发送和接收两部分构成的一个通信系统,本文研究的发送和接收系统主要由调制及延时、解调和码发生三部分功能。如图1。

(二)模块电路的设计

1. 码发生器(CREATOR)电路设计

图2是码发生器电路设计图,我们以MAX+plusⅡ为开发平台,运用VHDL语言编程实现各个小模块功能, 再连接各某块,CREATOR用来提供各种系统所需码信息和控制信息,包括:4路输入信息mess[3..0],4路WALSH码,周期为127的PN码及各个分频时钟。

2. 调制及延时(MODULATE—DELAY)电路设计

如图3,内嵌于编码器中的信息信号发生器产生的4路输入信号,经Walsh调制、PN扩频、基带求和与并串变换成为1路信号,完成调制。

(三)仿真与分析

作者对以上电路在MAX+plusⅡ软件平台上成功地实现了仿真。经过对以上模块的仿真分析得出以下结论:系统设计中比较难的一点就是时延问题,因为在此实验中要求PN码、Walsh码与原输入信号应该比较严格对齐,但是其中的一些时延又是不可避免的。解决方法如下:

1. 为了消除它带来的影响,在编码端,用时钟的上升沿触发,在解码端用下降沿触发。

这是利用数字器件的触发特性,使编码时钟相当于提前了半个时钟。因此得以改善延时问题,提高通信质量。

2. 硬件本身的时延比较小容易解决,但是串并变换时的

时延是大于1个周期的,因为在串并变换中必须等到3路信号的串序码全部到来时才能完成串并变换。又因为在解调时,PN码、Walsh码和输入信号必须是一一对应的,所以在实现时将PN码、Walsh码进行延时处理,将所有的码字顺序后延,使所有的信号及码字重新一一对应起来。在本系统中,为了验证解出的码字与原信号是否相符,并把结果用灯显示,将原信号作一定的延时,以有利于比较。在延时的实现中充分利用VHDL中SIGNAL的赋值特性(在赋值时都有一定的延时),可很容易实现码字的顺序后延。

参考文献

[1]陈萍.实验系统的计算机仿真[M].北京:国防工业出版社, 2001:176-213.

[2]王文博.时分双工CDMA移动通信技术[M].北京:北京邮电大学出版社, 2001:102-156.

[3]潭会生, 张昌凡.EDA技术及应用[M].西安:西安电子科技大学出版社, 2001:115-146.

[4]uwa.S.;Atarashi.H.;Sawahashi.M.;Performance comparison between MC/DS-CDMA and MC-CDMA for reverse link broadband packet wireless access[J].Vehicular Technology Conference.2002.Proceedings.VTC2002-Fall.2002IEEE56th.Volume4.24-28Sept.2002Page (s) :2076-2080.

[5]侯伯亨.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版, 2001:98-122.

基带系统 篇2

关键词:OFDM,同步误差波动,自适应处理

正交频分复用( OFDM) 由于频谱利用率高、抗多径衰落能力强、抗噪声干扰能力强等优点在WLAN,DAB,DVB,HDTV等通信系统中得到了广泛的应用。但是,OFDM系统在子载波相位一致时,由于输出信号的叠加造成OFDM系统较高的峰值平均功率比,使得信号对发送端系统中功率放大器的非线性十分敏感,功放的非线性会带来信号的带内失真和带外失真,带内失真主要表现为信号幅度和相位的失真,就QAM信号来讲,主要是星座图的旋转和弥散。带外失真主要表现在信号频谱扩展,对邻近信道造成干扰[1]。因此对OFDM系统发送端功率放大器的线性化技术的研究就显得十分重要[2]。目前针对功放的线性化技术包括功率回退技术、前馈线性化技术、笛卡尔后馈技术、非线性器件技术以及数字预失真技术,而数字预失真技术以其无稳定性问题、精度较高、适应宽带通信等优点前景最好,其中基于查询表的数字预失真技术又具有实现较为简单、资源消耗较低等优点,因此本文选择基于查询表的自适应预失真实现技术。

本文首先简单介绍了OFDM系统中自适应预失真处理单元,在此基础上设计了一种基于同步恢复环路的查询表自适应预失真器,通过仿真表明系统在考虑存在环路延时的情况下,通过环路同步处理和自适应预失真算法可以有效地改善因功放非线性带来的系统性能下降。

1 OFDM系统自适应预失真的基本模型

如图1 所示为OFDM系统自适应预失真[3]处理的基本模型,如图所示整个预失真系统可以分为3 个部分: 自适应算法[4,5]部分、环路延时估计[6]部分以及预失真查找表。自适应预失真系统中自适应算法比较成熟,而难点在于考虑环路延时的存在,将自适应算法和环路延时估计有效地结合起来,并完成查询表的有效刷新,最终保证整个系统级联后预失真能有效改善信号因功率放大器非线性造成的失真,提高整个系统的效率。

2 系统设计

功率放大器是OFDM系统中主要的非线性器件,本文采用基于输入信号幅度索引的一维查新表的预失真技术来消除功放的非线性,自适应预失真功率放大器系统结构如图1 所示,自适应预失真系统可大致分为5 个主要部分,分别为同步处理模块、同步误差判定模块、自适应算法模块、查询表刷新判定模块以及预失真查询表。

2. 1 同步处理模块

由于自适应预失真算法中需要反馈回路提供接收信号,因此反馈信号的真实性在很大程度上将影响自适应算法的正确性以及系统工作的有效性和稳定性。而反馈回路中接收的信号需经过变频和模数处理等,反馈信号和原始输入信号相比不可避免地会存在时延和一定的噪声,因此自适应系统有必要首先纠正反馈信号中的时延,在延时得到矫正的前提下预失真操作才可以有效进行。同步处理模块的目的就是纠正信号中存在的延时。其中同步处理可分为整数倍延时估计部分和小数倍延时估计部分[7],同步处理模块简单工作流程如图2 所示。

系统开始后先初始化数据,同步处理模块首先进行整数倍延时估计,缓存单元2、3 分别( 图1) 存储一帧输入数据和经过PA后并进行AD下变频接收的一帧数据,利用数据相关的方法,将预失真器的输出信号和反馈回路接收的信号进行相关,通过检测相关峰值,估计出反馈信号存在整数倍时延,其中缓存器1 的作用为补偿输入信号相对于反馈回路接收信号的整数倍时延; 在估计出整数倍延时的基础上启动内插同步环路,将缓存器3 中的数据进行内插同步处理,此时缓存器3 中的数据就起到减少内插处理时间和为内插处理提供数据缓存容量的作用,缓存器3中的数据经同步处理模块后输出内插恢复后的数据,系统在同步误差判定模块作用下,使同步处理模块的输出数据和缓存器1 的输出数据最终同步。需注意的是数据在同步处理过程中仅填充和使用预失真查询表而不进行预失真算法操作,直到同步完成后在同步误差判定模块的驱动下自适应算法模块才开启,查询表才根据自适应算法产生的相应参数进行更新操作。如图2 所示同步内插环路的数据处理部分又可以分为同步误差估计、内插滤波器、内插控制器、环路滤波器。

2. 2 自适应算法模块

本系统采用的是基于一维查询表的RASCAL算法[8],自适应算法的目的是要使两组信号之间的误差最小,误差为

式中: Vf'( t) ,Vi'( t) 分别为功放输出信号和预失真器输入信号; Ve为误差信号; K为功率放大器的线性放大倍数[9]。将误差信号表示成极坐标形式为

式中: ρe和 θe分别表示Ve的幅度和相位。

式( 2) 可改写成一组函数

自适应处理使用递归的线性收敛使式( 1) 表示的误差最小。预失真器的特性函数存储于两张一维查询表中,由幅度表 Ρ 和相位表 Θ 构成,则自适应算法的递归方程表示为

式中: 下标i为查询表中的第i项; α 、β 是该收敛算法的递归迭代步长,通过调节 α 、β 的值可以达到调节查询表收敛时间的目的。这样,由式( 5) 递归迭代,不断更新查询表的内容,以使预失真器的特性函数不断逼近HPA特性函数的“逆函数”。

2. 3 级联模块

由于自适应预失真系统中所采用的自适应预失真算法比较成熟,因此自适应系统的难点并不在此,而是将同步处理部分和自适应算法部分级联,如图1 所示,本系统在考虑实际系统中由于反馈回路噪声等因素的影响,内插同步处理只能使同步误差最终稳定在一个很小的范围内,并不能完全纠正系统延时,也即同步误差不可能完全消除的情况,为保证查询表有效刷新和系统稳定工作,本系统添加了同步误差判定模块以及查询表刷新处理模块,并引入了一种查询表刷新策略,仿真表明该部分的引入对考虑延时存在的情况下系统自适应有效运行是必不可少的。

2. 3. 1 同步误差判定模块

本系统考虑到将同步部分和自适应预失真算法部分级联中的实际情况,加入了同步误差判定模块。系统采用的是基于内插环路同步处理,系统在同步处理过程中,通过不断调节内插基点以及小数偏差,最终同步模块输出与自适应算法模块的输入信号基本同步的信号,但同步误差并不能完全消除。系统在同步基本完成后再进行自适应算法和内插同步共同处理,为使系统真正实现自适应处理的目的,故本系统引入一个同步误差判定模块,即系统在同步误差稳定的情况下,根据连续两次计算的环路滤波器输出的均方误差的差来判断定时同步环路是否锁定。均方误差的计算方法为

式中: lpi为环路滤波器的输出; 为环路滤波器输出的平均值; M为统计的帧数。设连续两次计算得到的均方误差分别为MSE1和MSE2,判定准则如下:

若|MSE1-MSE2|≤Th,则环路状态判定为收敛;若|MSE1-MSE2|>Th,则环路状态判定为未收敛,环路仍处于捕捉状态,其中Th为设定的门限。系统在同步误差进入收敛状态后进行同步与自适应算法共同处理。

2. 3. 2 查询表刷新判定模块

如前所述自适应系统中反馈回路的存在,为保证反馈信号的真实性,进行了必要的同步处理,但由于噪声以及非线性器件的影响,经同步处理后信号的同步误差不可能完全消除,因此本系统在充分考虑同步误差存在波动的情况下引入了查询表刷新判定模块和一种查询表刷新策略,通过判断数据在满足该策略的前提下才进行查询表的刷新操作,并不是将所有数据都用来更新预失真参数即查询表内值。

根据预失真原理,查询表收敛后应为功放特性曲线的反函数,则使预失真器有效的幅度查询表内值小于Vsat,Vsat为功放的输入饱和电压。相位查询表由于存放的是相位误差信号 θe,设功放输入饱和电压所对应的最大相位偏移为 θsat,则相位误差信号 θe值应小于 θsat且下限为0,这样信号经相位查询表后才可以抵消由功放造成的相位失真,结合式( 5) ,上述范围可表示为

由式( 7) 、( 8) 可知,通过提前判定查询表某一地址刷新后该处值是否位于上述区间内,若是则视该值有效,相应的查询表地址进行更新,若值超过该区间,相应的查询表地址处不进行刷新。该方法中幅度和相位查询表的刷新是相互独立的过程。

3 系统仿真

为验证所设计的自适应预失真功率放大器对OFDM系统的有效性,本文采用MATLAB2008a平台进行仿真。仿真采用基于国标的16QAM调制,SNR = 25,输入功率回退IBO =6,查询表LUT =256,AGWN信道,使用48 帧数据首先进行内插同步处理,而后进行自适应算法和内插同步共同处理,为系统仿真不失一般性,所采用的功率放大器为归一化Saleh模型。从图3 可以看出,在考虑时延存在的实际系统中由于同步误差不可能完全消除,加入查询表刷新判定模块对自适应系统有效工作是必要的。

从图3 中可以看出,自适应系统中加入查询表刷新判定模块后,信号经过自适应预失真处理可以有效改善功放非线性约17 d B,且该结果和信号理想同步情况下自适应RASCAL算法对功放非线性改善效果基本一致,若忽略系统同步误差波动的存在,直接进行自适应算法操作,即系统不添加查询表刷新判定模块,信号经过自适应系统后,功率谱没有改善反而出现了恶化的现象。图4 为整个自适应系统在添加查询表刷新判定模块前后星座图的比较,从图4 可以看出,加入查询表刷新判定模块的系统相比于未添加该模块的系统更好地改善星座图的扩散与旋转。从图3、4 中可以看出,自适应系统中反馈信号虽然经过内插同步处理但同步误差仍不完全为零,若忽略该误差的存在,直接进行自适应信号的处理,非但不能得到好的线性化改善效果,反而功放的功率谱泄露会更加明显。

系统在添加了查询表刷新判定模块后,可以保证预失真系统可靠运行,而未引入该模块却造成系统性能更加恶化,从同步误差中对这种现象进行解释。图5 为自适应系统在添加查询表刷新判定模块前后,系统的同步误差曲线。

由图5 中圆圈处可以看出系统由同步处理切换到同步和自适应算法共同处理过程中同步误差会出现较大波动,未加入查询表刷新判定模块的系统,该误差波动导致查询表某部分地址内的值出现错误,主要表现在幅度与相位查询表内值超出式( 7) 、( 8) 的区间,而查询表中超出该区间的值并不能由后续的参数更新得到矫正,反而情况更加恶化。而加入查询表刷新判定模块的系统,就可以避免这种情况的出现,只使用满足要求的数据进行表值的更新,保证了查询表内值的正确性,从而使系统在同步误差有波动的情况下有效工作。

本文设计的自适应预失真系统,考虑实际系统中反馈信号延时的存在,为保证自适应预失真系统的有效进行,必须首先对反馈信号的时延进行矫正,但考虑实际情况中系统噪声等因素的影响,同步误差不完全为零导致系统在同步和自适应算法处理的状态切换中,同步误差存在较明显波动,仿真表明若忽略误差波动的存在直接进行预失真操作,最终预失真系统并不能有效工作,因此本系统在进行预失真操作时进行了简单的查询表刷新判定,保证了预失真系统工作的有效性和可靠性。

4 小结

基带系统 篇3

关键词:RapidIO协议,高速接口,无线通信基带处理,系统架构

0 引 言

在现代社会里, 无线通信在很多领域扮演着重要的角色。为满足人们日趋多样化的通信需求, 无线通信技术不断地进行着革新, 以便得到更高的数据传输和处理能力。

然而, 随着超3G, 4G通信技术的演进, 单个DSP处理器件自身的处理能力已不能满足系统的需求。解决这一矛盾的有效途径是采用分布式处理。然而, 通常的基带处理系统架构[2,3], 其本身并不具备分布式处理能力, 并且这种架构存在着诸多弊端, 可升级性差。在系统设计时, FPGA和DSP的结构就已经固化, 这为后期功能的改变和性能的提升带来了很大的麻烦;系统的可移植性差, 无法在pico, micro和macro基站中使用同一种架构;这类架构通常会使用EMIF接口, EMIF接口会引入不确定性时延, 而基带处理算法对其具有敏感性;上行和下行处理在硬件上相分离, 系统成本高。

为了消除上述问题对无线通信技术发展的制约, 本文在对RapidIO协议及相关技术进行深入研究后, 基于串行RapidIO接口协议提出了一种新的基带处理架构。

1 RapidIO协议及关键技术的研究

作为一种基于可靠性的开放式互连协议标准, RapidIO以其高效率、高稳定性、低系统成本的特点, 为通信系统各器件间提供了高带宽、低延时数据传输的解决方案;同时, 其拥有支持点对点或点对多点的通信能力, 支持DMA操作和消息传递, 以及支持多种拓扑结构等特性, 为数据处理性能稳定快速地提升提供了强有力的保障。

1.1 RapidIO协议的研究

RapidIO的操作是基于请求和响应事务的。操作的发起器件产生一个请求事务, 该事务被发送至目标器件, 目标器件收到请求事务后会产生一个响应事务返回到发起器件, 从而完成该次操作。RapidIO协议的核心是包和控制符号。包是系统中器件间的基本通信单元, 它由事务和确保事务被准确可靠传送至目标端点所必需的位字段构成。控制符号用于管理RapidIO物理层互连的事务流, 也用于包确认、流量控制和维护。

RapidIO采用三层分级的体系结构[4]分别为逻辑层, 传输层, 物理层。如图1所示。逻辑层规范在最顶层, 定义了接口的全部协议和包的格式, 它为器件发起和完成事务提供必要的信息。传输层规范在中间层, 定义RapidIO地址空间和数据在器件间传输包所需要的路由信息。物理层规范位于整个分级结构的底部, 包括器件级接口细节。该体系结构最大的特点是不同的逻辑层和物理层都依靠同一公用传输层规范来连接, 它使得RapidIO具有很强的灵活可变性。例如, 在任意层对事务类型进行修改或增加都不会更改到其他层的规范。

1.2 RapidIO的关键技术

1.2.1 流量控制

RapidIO流量控制的首要目的是确保系统中数据流的平稳传递, 以及避免事务因为被堵塞而无法完成。RapidIO在链路级定义了三种流量控制机制:重传、减速和基于信用的流量控制。重传机制是最简单的机制, 接收方在因为资源缺乏而来不及接收包时, 会发出一个重传控制符号作为响应, 发送方接收到响应后将从该包处开始重传直到其被接收方接收。减速机制是接收方通过发送减速控制符号, 促使发送方在包间插入空闲控制符号, 以增加发包间隔, 从而达到降低发送流量的目的。基于信用的流量控制是接收方通过使用特定的控制符号向发送方指明每种事务流对应的缓冲空间信息, 发送方根据该信息决定是否发包。

1.2.2 错误管理

RapidIO的工作频率非常高, 而在高频率下工作很容易发生错误, 因此需要强大的错误覆盖机制, 使其从硬件上确保RapidIO能够准确地检测到错误, 并从中恢复。RapidIO发生的错误大体上可分为三类:第一类是接收方收到错误包;第二类是发生丢失事务错误;第三类是接口发生致命故障。RapidIO结合重传协议和循环冗余校验码提供了广泛的错误检测和恢复技术, 同时还使用控制字符和响应定时器来减小系统中漏检错误的可能性。

2 基于串行RapidIO的无线通信基带处理系统架构方案

本文基于串行RapidIO所提出的无线通信基带处理系统架构方案如图2所示。在该方案中, CPU完成控制信息的生成以及MAC数据的调度, FPGA和DSP完成基带数据的处理。各芯片均使用串行RapidIO与SRIO SWITCH芯片相连。

对于上行基带处理而言, 天线数据通过CPRI从射频板传输到基带板上, 经过CPRI与SRIO (串行Rapid IO) 的桥接器后由SRIO SWITCH交换到FPGA或DSP开始处理。上行基带处理通常需要在FPGA和DSP中进行FFT、信道估计、解调、解重复、解交织、解扰、译码以及数据校验等处理。这些处理可以根据其在FPGA和DSP中实现的难易程度以及资源消耗率对实现器件进行选择。经过校验后, 上行数据再通过SRIO SWITCH被发往CPU进行MAC层的处理, 处理完成的数据最后通过CPU的GE接口进入核心网。

对于下行处理而言, 下行数据通过GE接口进入CPU, CPU再将数据发往相应的处理器件进行处理。当处理器件完成对下行数据的编码、加扰、交织、IFFT等处理后, 再通过CPRI与SRIO的桥接器发送到射频板。

3 基于串行RapidIO的无线通信基带处理系统架构方案的优点及测试验证

基于串行RapidIO的基带处理系统架构与传统架构相比, 具有诸多优点, 本节将具体描述。同时, 为了验证所述优点以及系统架构的正确性, 对系统进行了硬件实现, 并在实现后的硬件上完成了相关的功能和流量测试。

3.1 优点分析

(1) 系统具有很强的灵活性和可扩展性

灵活性和可扩展性是该系统架构最大的优点。不同的通信协议, 其需要实现的功能以及数据的处理流程往往是不一样的。即使是同一种协议, 也会因为应用场景的不同而存在不同的需求。这些差异化的设计如果能在同一个硬件架构中实现, 将会为设计者带来巨大的便利。串行RapidIO是点对点的高速接口, 图2中各芯片可以通过SRIO SWITCH自由收发数据。同时, 连接到SRIO SWITCH的处理器件个数可以在一定范围内自由地增减, 因此该架构可以实现不同的拓扑结构, 以满足不同的设计需要。

(2) 任意两个芯片间都可以进行数据的高速低延时传输

串行RapidIO协议1.3拥有两种传输模式和三种传输速率。两种传输模式分别为1x和4x, 即发送和接收分别各有1对或4对差分线。差分线又有三种传输速率可供选择, 分别是:1.25 Gb/s, 2.5 Gb/s, 3.125 Gb/s。因此, 芯片间的采用4x模式 (1x模式) 进行信号传递的最大流量可以达到12.5 Gb/s (3.125 Gb/s) 。除去串行传输中的8 B/10 B编码开销、协议包开销以及控制符号开销后, 有效载荷流量可以达到9 Gb/s (2.3 Gb/s) 左右。9 Gb/s的流量可以轻松地满足现代通信系统的需要。

(3) 支持数据的分布式处理

随着第三代无线标准的发展演进所带来的更高的用户数据率, 基带处理系统对数据处理性能的要求也在持续增加。为了解决芯片处理能力不能满足系统发展需要的矛盾, 在该架构中引入了分布式处理技术。由于RapidIO支持组播功能, 数据可以通过图2中的CPRI与SRIO的桥接器或某个FPGA以组播的方式同时向多个DSP传送数据, 每个DSP会根据自身的控制信息对数据进行不同的处理, 完成处理后的各DSP会将数据发往同一个FPGA进行合并, 从而完成对数据的分布式处理。

(4) 上下行处理合并在同一个板上

将上下行处理合并在同一个板上是该架构的又一大特点。上下行的合并有利于对资源的充分利用, 同时也可以根据场景的不同灵活地分配上下行资源, 充分地体现了高性能、低消耗的特点, 使其具有很强的现实意义。

(5) 具有高稳定性和易于布局布线的特点

由于串行RapidIO提供了可靠的错误检测机制, 并且将传输时钟嵌入到数据中, 消除了数据与传输时钟之间的信号偏移, 因而使得芯片间的数据可以准确、稳定地传输。另一方面, 串行RapidIO即使工作在4x模式下也只需要19个引脚, 其低引脚数的特点使得各芯片在布局布线方面的复杂度显著降低, 变得十分简单。

3.2 测试验证

硬件实现图2所示的架构共使用了1片MPC8572 CPU, 2片VIRTEX-5LXT系列的FPGA (FPGA 1/2) , 3片TNS320TCI6488 DSP (DSP 1/2/3) 以及TSI578 SRIO SWITCH。其中, CPU和FPGA均采用3.125 Gb/s的4x模式;DSP则采用3.125 Gb/s的1x模式。

表1显示了多条数据通路同时进行数据通信的实测峰值流量。其中, 任意一条数据通路的发送流量与接收流量都是相等的, 由此可以证明该架构可以对数据进行可靠完整的传输。与此同时, 4x模式 (1x模式) 下的数据流量可以达到8.76 Gb/s (2.23 Gb/s) , 这与第3.1节中分析的最大9 Gb/s (2.3 Gb/s) 左右的流量相吻合, 也验证了该架构对数据的高速低延时传输特性。

为了验证该架构进行分布式处理的可行性, 特意在FPGA 1与DSP1/2/3之间进行了多播实验。实验结果如表2所示, 各DSP均能接收到来自FPGA 1的多播数据, 且各DSP的接收流量与FPGA 1的发送流量相同, 由此可以推断各DSP能完整接收FPGA 1发送的多播数据, 从而证明了分布式处理是可行的。

另外, 从测试结果可以发现, 不同的数据通路在同一时间段均能近似以最大流量的方式进行通信。这充分说明了该架构具有点对点灵活通信的特性。

通过以上的测试验证, 一方面证明了第3.1节中的优点分析是正确的。另一方面也证明了使用本文提出的架构方案完成各芯片间的数据传输是合理可行的。对于本文提出的架构而言, 各种拓扑结构均能通过RapidIO实现, 因此, 保证了数据在各芯片间能够自由可靠地传输, 确保了该架构能够很好地完成基带处理任务。

4 结 语

串行RapidIO是一种用于芯片或背板间互联的新型高速接口。本文提出的基于串行RapidIO的无线通信基带处理系统架构具有灵活、可靠、高性能等特点, 使其相对于传统的基带处理系统架构体现出了很强的优越性, 能够很好地满足无线通信技术的发展需求, 具有很长的生命周期和广阔的应用空间。

参考文献

[1]Sam Fuller.RapidIO The Embedded System Interconnect[M].北京:电子工业出版社, 2006.

[2]Bertan Tezcan, Bill Beane.Modular Baseband Design-enabling a Low Cost, Reusable Wireless Infrastructure[J].Portable Design Magazine, 2006, 113:22-25.

[3]Bertan Tezcan, Bill Beane.Modular Baseband Design-enabling a Low Cost, Reusable Wireless Infrastructure-Part II[J].Portable Design Magazine, 2006.

[4]RapidIO Trade Association.RapidIO Interconnect Specifica-tion[EB/OL].Rev.1.3.http://www.rapidio.org, 2005.

[5]White Paper.RapidIO Technology Solves the Communica-tions Fabric Conundrum[EB/OL].http://www.rapidio.org, September, 2005.

[6]Dan Bouvier.An Embedded System Component NetworkArchitecture[Z].RapidIO Technical Working Group, 2001.

基带系统 篇4

在TD-LTE系统中,从脉冲信号到射频信号,至少要经过两次调制处理,如图1所示。

第一次为信息调制,也叫编码调制或基带调制,是将系统中原始传输的低速率二进制脉冲原始数字信号调制成可在不同物理信道中传输的高速率模拟信号,是一种数字基带调制技术。TD-LTE系统应用了BPSK、QPSK、16QAM、64QAM四种方式对数字信号进行基带调制,是因为系统中传输的低速率数字信号,虽然系统处理起来既简单又高效,但只能在系统的有线信道中短距离传输,在无线信道上能够远距离传输的普遍采用的是高速模拟信号,所以,系统在无线接口前端须将低速数字基带信号调制到高速的谐波载波上。

第二次为射频调制。其实,第一次调制后系统就可将信息通过天线发送出去,但占据了系统整个带宽的载波却只能传输一路信息,严重浪费了频域资源,还无法应用移动通信系统中的多用户多址技术。TD-LTE系统的射频调制在上行采用的是SC-FDMA调制方式、下行采用的是OFDMA调制方式,它们可以将占据整个系统传输带宽的载波划分成一系列正交的带宽为15k Hz的并行子载波,既可使每个子载波并行传输用户信息,也可使不同子载波在不同天线端口进入无线信道,从而在频域空载极大地提高系统的传输容量。

TD-LTE在物理层定义了9条物理信道作为系统信息传输的上下通道,其中上行链路有6条、下行链路有3条。在这9条物理信道中有6条是专门承载控制信息的控制信道,3条是专门承载用户信息的业务信道。由于所有物理信道上承载的系统信息都是二进制脉冲数据,必须将其调制到模拟载波上传输,这就是系统的第一次基带调制。所以TD-LTE系统的第一次基带调制十分重要,它决定了系统数据传输的速率和品质。本文认真地分析了TD-LTE系统各物理信道的特点,分析了4种基带调制技术,以及它们在物理信道上传输二进制脉冲数据的基本原理与过程,为广大工程技术人员全面了解TD-LTE系统提供了有益的帮助。

2 TD-LTE系统基带调制技术

由信源直接生成的信号,不管是模拟还是数字,都是基带信号。TD-LTE系统的源生基带信号是数字脉冲信号,也叫脉冲码字,见图1所示。这种数字脉冲基带信号的频率较低,信道中传输时极易衰减,不宜长途传输,更不宜在无线信道中传送,应调制在频率更高、更适合天线发送的模拟载波上才能有效地远距传输。将基带信号调制到载波上的调制技术叫基带调制技术,基带调制技术有许多种,TD-LTE系统在无线接口前端采用的基带调制技术有BPSK、QPSK、16QAM和64QAM四种,见图2所示。

BPSK和QPSK分别为二相移键控和四相移键控数字相位调制解调技术,是一种利用载波(谐波)的不同相位状态表征数字信号的调制方式。前者常用相位0和π分别表示“0”和“1”两种状态,后者常用相位0、π/2、π和2π/3分别表示“00”、“10”、“11”和“01”四种状态。图2所示中的基带是二进制“0”和“1”表示的归零脉冲数字信号,为了分析方便,取基带频率和载波频率都为1,当载波用BPSK方式调制时,数字信号以基带脉冲前沿变化参考,载波相位紧随脉冲前沿变化而变化;当载波用QPSK方式调制时,数字信号以两个脉冲作为参考,载波相位根据两脉冲值大小来确定,图2中有明确标识。

16QAM和64QAM分别为2相4幅度和2相8幅度正交幅度调制解调技术,是一种同时用载波相位和振幅状态表征数字信号的联合键控,可用载波振幅和相位作为两个独立参量同时调制基带信号。方法是先用串并变换将基带信号分为I、Q分量,再对I、Q分量进行电平变换完成振幅调制,又将I、Q调幅信号分别乘以相互正交的cosωct和sinωct载波完成相位调制。若变换电平支持±1和±3四个值,则I、Q分量有16个值,每个调制值可表示4位二进制数,叫16QAM;若变换电平支持±1、±3、±5和±7八个值,则I、Q分量有64个值,每个调制值可表示6位二进制数,叫64QAM。图2中QAM有明显的幅度调制,说明QAM调制以幅度调制为主、相位调制为辅,相位调制始终只有2个相位值,幅度调制则有4个值、8个值或更多的值。

波特率为每秒传送的调制符号数,是数字通信中信息传输的速率单位,也可叫符号率,或码元率。用BPSK、QPSK、16QAM和64QAM方式调制数字脉冲时,每个调制符号或码元分别是1、2、4和6比特,对应的波特率分别为比特率的1:1、1:2、1:4和1:6。若设BPSK的信息传输速率为1,则BPSK、QPSK、16QAM和64QAM的波特率分别为1、2、4和6。所以说用这4种基带调制技术调制同一载波时,该载波承载的信息数据率分别为1、2、4和6,它们的调制数据率一个比一个大。

由于BPSK、QPSK是用载波相位调制基带信号,不同相位差的载波越多,调制的数据率越大,传输的数据速度也越高,并能减小因信道特性引起的码间串扰,提高数字通信的有效性和频谱利用率,但相邻载波间相位差越小,对接收端的技术要求越高,因为误码率也会越高,从而降低了传输的可靠性。由于16QAM、64QAM主要用载波振幅调制数字信号,不同振幅电平值越多,调制的数据率越大,传输的数据速度也越高,但同样存在相邻载波间振幅差越小,幅度解调中的误码率越高的问题。图3所示为BPSK、QPSK、16QAM和64QAM四种调制技术在相同条件下的误码率曲线,不管是理论还是仿真,它们的误码率一个比一个大。

BPSK、QPSK、16QAM和64QAM基带调制技术的特点是,调制系统信息的调制数据率和误码率一个比一个高,在选择使用这些调制技术时,表现为一对不可调和、只能平衡的矛盾。

3 TD-LTE系统物理信道分析

TD-LTE系统传输的信息主要有用户数据、系统控制信息、导频信号和同步信号等,其中的用户数据与控制信息,TD-LTE系统在物理层专门定义了物理信道予以承载,分别为6条下行物理信道和3条上行物理信道,见表1所示。之所以下行链路的物理信道多于上行链路,一方面是支持下行链路的基站在电源能耗和设备成本等方面有足够的支撑能力,另一方面是下行物理信道必须面临多个用户UE。其中,虽然PUSCH和PDSCH同为共享信道, PUCCH和PDCCH同为控制信道,但它们各自为上下链路信道,职能差别较大。其它信道都是各自链路的特有信道,如PRACH是专门承载UE随机接入的上行信道、PMCH是UE接收多播媒体的下行信道。

物理信道最终还是要用子帧或时隙承载。TD-LTE系统在时域支持半帧5ms周期和无线帧10ms周期,在这两种调度周期中,物理信道与子帧的关系见图4所示,系统指定,在5ms周期中,子帧0承载PDSCH、子帧1为特殊子帧、子帧2承载PUSCH,其他子帧由系统根据配置可承载PDSCH或PUSCH;在10ms周期中,子帧5和子帧6承载PDSCH,即位于半帧1的特殊子帧可作常规子帧使用。特殊子帧分为Dw PTS、GP、Up PTS三个时隙,其中Dw PTS时隙前部可承载PCFICH、PHICH和PDCCH等,后部可承载PDSCH;Dp PTS时隙前部可承载PRACH。此外,其它物理信道可位于共享信道对应的子帧,不同的是它们所处的子载波不同。

在所有物理信道中,真正承载用户数据(用户通话、网页浏览和上传或下载、多播、信令、寻呼等)的只有PUSCH、PDSCH和PMCH三个物理信道,它们也是系统与用户关联最密切、对用户感知最强烈的3个业务信道。为了适应无线环境和用户业务需要,系统采用了3种基带调制方式供其自动选择。另外,虽然PUSCH和PDSCH都可承载用户数据和信令,但PDSCH实际上较PUSCH复杂,这不仅因为下行用户数据本来就多于上行用户数据,还因为下行发送的寻呼消息等也需要PDSCH承载。为此TD-LTE系统还支持特殊子帧中下行时隙Dw PTS的主同步信号PSS后面可以承载PDSCH。

所谓共享信道是指同一物理信道可由多个用户分时使用,因为它们具有较短的持续时间和较好的重复使用机制。由于共享信道可由系统预先建立,可以使得传输功率、PN码等资源统一利用,并能根据UE业务需要按照某种方式分配给某个UE使用,因而可以提高资源利用率。在TD-LTE系统中,UE在传输任何信息和系统需要传送高层信令时,都使用PUSCH或PDSCH物理共享信道,其原因就是它们具有的以上优点。显然,PUSCH和PDSCH虽然归为业务信道,但它们在承载业务信息的同时也可承载部分控制信息,如PBCH就包含在半帧或无线帧承载的PDSCH共享信道的不同子载波的子帧0中。

列于R9协议中的物理多播信道PMCH,是一个承载多媒体与多播信息MBMS业务的专用物理信道。PMCH对应的是单天线模式,端口为PORT4,没有发射分集功能。当某子帧在某子载波同时支持PMCH和PDSCH传输,或当基站支持4个天线端口时,系统要求前2个OFDM符号不能承载PMCH传输。系统还要求PMCH不能在子帧0和子帧5上传输。在3GPP36.211-6.3中,PMCH的资源映射规则与PDSCH一样。另外,承载PMCH信道的子帧使用扩展循环前缀CP,因为多小区传输时延通常比单小区时延大,较长的CP有助于确保接收信号落在UE接收机的CP内,尽量减少符号间干扰,降低UE接收机上均衡器的复杂度。

在TD-LTE系统物理层的9个物理信道中,上下行链路共有6个物理控制信道,分别对应的基带调制方式固定不变,且仅PHICH使用BPSK方式,其他的都是用QPSK方式。由于PHICH用于承载针对PUSCH数据包中HARQ的应答信息ACK/NACK,而UE要根据该应答信息决定是否进行PUSCH数据包重传,PHICH的HARQ和PUSCH的对应关系又是TD-LTE系统独有,所以系统对PHICH的检测性能要求较高。又因ACK/NACK应答信息只1bit信令,信息长度短。为了提高传输效率和可靠性,TD-LTE采用了重复编码、BPSK调制、正交扩展、加扰和时频分集映射等方式,重点保证ACK/NACK信令的可靠性。TD-LTE系统的控制信息的数据量普遍很小,长度和内容又基本固定,所以系统采用了固定的低阶基带调制方式。

4 业务信道选择基带调制方式分析

从上面第2节可知,不同的基带调制方式有不同的特征,低阶调制可增加较多的冗余,却导致实际效率较低,但能够保证较高的可靠性;高阶调制具有较高的效率,但可靠性较差,对信道条件提出了较高的要求,因为只有在信道很好的环境下才能获得较高的增益。如图5所示,一般情况下e NB小区中心是信道环境优越的区域,e NB小区边缘则是信道环境相对较差的区域,为了确保用户高品质通信感知,采用不同阶数的基带调制方式具有重要的现实意义。TD-LTE系统采用的自适应调制与编码技术AMC,在给定数据传输质量要求的前提下,可根据无线信道的实际情况、平均信噪比、平均时延、通信中断概率和数据速率等来决定采用的基带调制方式,其中给定数据传输质量是指由终端UE测得的CQI报告交给e NB,供e NB使用AMC技术。

T D - LT E物理层的业务信道P U S C H、P D S C H和PMCH分别是1个上行业务信道和2个下行业务信道,它们的承载情况是:PUSCH在上行信道中承载的信息量最多,主要有上行数据信息、上行控制信息和上行参考信息三类;PDSCH是下行链路中最重要、最复杂的信道,主要有下行寻呼信息、下行广播信息、下行控制信息和下行业务数据信息;PMCH则是下行传输中的专用信道,专门用于承载UE进行视频会议、电视广播、视频点播、广告、网上教育和互动游戏等多播多媒体业务信息。所以,TD-LTE的业务信道不仅承载了系统业务信息,还承载了其他信息,也反映了用户的下行信息多于上行信息的不对称性。

从表1可知,6个控制信道都使用唯一的低阶基带调制方式,3个业务信道可以在QPSK、16QAM、64QAM三个基带调制方式中选择,说明TD-LTE系统采用的自适应调制技术AMC,只需服务于3个业务信道。事实上,在PUSCH的基带调制方式的选择中,系统除了参考无线信道CQI报告外,还依赖于手机终端的等级参数。PUSCH是系统的上行共享信道,手机终端是上行信号的发射端,由于终端设备的局限性,发射功率的大小和发射技术的高低完全因手机品质而定。3GPP协议将TD-LTE智能终端分为5个等级,并在通信协议中明确规定只有等级5的智能终端系统才能支持高阶基带调制技术64QAM。

TD-LTE使用自适应调制AMC技术时,系统需要参考由终端UE测量并上报给基站e NB的信道质量指示CQI报告,该报告用0~15的量化等级反映终端上行链路的信道质量,可以方便成为上行业务信道PUSCH选择基带调制方式时的重要参考。其实,CQI同样可以为PDSCH和PMCH下行业务信道选择基带调制方式提供参考,因为在基站与手机之间的无线信道既是上行信道,也是下行信道,但自适应调制功能只能在基站侧进行,所以在上行业务信道PUSCH的基带调制方式选择是来自基站的相关指示。

下行业务信道PDSCH和PMCH的基带调制方式的选择过程是:UE在寻呼到e NB后,先通过上行控制信道PUCCH发送由自己测量的CQI报告给e NB,e NB得到当前物理信道的CQI值后,再通过相关综合分析来确定PDSCH和PMCH的基带调制方式。解调时,由于UE并不知道自己收到的子帧中是否包含下行数据,只得对PDCCH信道盲检,查看每个PDCCH中是否承载有当前工作UE的下行资源时频位置和调制编码方式等信息,若有则根据盲检的信息确定对PDSCH信道上的数据的解调方式。其实,PDCCH中既包含有下行业务信道的解调方式选择信息,也包含有上行业务信道的调制方式选择信息。

最后需要说明的是,业务信道采用低阶基带调制方式QPSK,完全是为了考虑无线传输环境恶劣时,采用降低传输数据速率换取传输质量,从而保证用户传输信息的基本畅通和用户通信的良好感知。采用高阶基带调制方式64QAM,则是系统考虑某些有条件使用高品质高档次手机终端的专属性用户的业务需要,这些用户不仅有条件手持高档终端,业务使用位置也往往是在车站、商店、学校、酒楼、办公室等无线环境相对较好的网络全覆盖区域,良好的无线环境加上高阶基带调制技术完全可以满足他们的海量业务应用。

5 结束语

无线信道的时变特点使无线通信过程存在许多的不确定性。据移动通信理论,为了提高无线通信的吞吐量,系统在业务信道往往考虑采用传输速率较高的高阶基带调制,但当无线信道处于深衰落时又无法保障通信的可靠性;为了保证无线通信的可靠性,系统在业务信道往往采用传输速率较低的低阶基带调制,虽然可以保证无线信道深衰落时的可靠性,但却容易造成系统的资源浪费,影响业务信息的传输效率。TD-LTE系统采用AMC技术,根据CQI报告、终端等级和其他相关参数,对业务信道有选择性地使用QPSK、16QAM或64QAM基带调制技术,使得系统可以在数据传输率和可靠性方面取得最佳的平衡效果。

TD-LTE系统物理信道承载系统信息时,控制信道的数据量相对较少,而可靠性要求较高,但系统偏向于可靠性;业务信道的数据量巨大,而误码率不好掌控,但系统偏向于数据传输率。为此,系统一方面将所有控制信道都固定采用性能最好的低阶基带调制方式BPSK或QPSK,保证控制信息的可靠性;另一方面将所有业务信道确定在调制数据率相对较高的基带调制方式QPSK、16QAM和64QAM中选择,以适合业务数据的需要。显然,TD-LTE系统对物理信道基带调制采用的方略,是保证移动通信安全、可靠、稳定运营的重要前提。

PBSK、QPSK、16QAM、64QAM调制方式将1、2、4、6个比特映射为1个OFDM符号,反映它们每个OFDM符号承载的信息量越来越多,数据的传输速率也越来越快。TD-LTE系统若要继续提高用户业务数据的传输速率,一个重要的方法就是提高业务信道的基带调制速率。实践发现,16PSK的调制性能比16QAM差,采用更高阶的PSK基带调制方式不可取。所以,只能选择更高阶的QAM基带调制方式,如256QAM。目前,工程中已经应用的最高阶为1024QAM,该技术的每个调制值可以表示10位二进制数,在I、Q分量上每个振幅有32个电平值,因而可表示1024个状态。但32个电平幅度产生的误码率是非常高的,须在收发设备上使用性能更好的纠错体系补偿。

摘要:首先分析了TD-LTE系统的4种基带调制技术和相关特点,其次分析了TD-LTE系统中9条上下物理信道,再次分析了TD-LTE系统3条业务信道选择基带调制技术的基本原理,最后总结了TD-LTE系统提高业务数据速率的方法以及采用更高阶基带调制技术的相关要求。

基带系统 篇5

光纤无线通信(ROF)传输系统是将无线通信和光纤通信技术相结合,用无线技术的移动性代替光纤通信的有线束缚,用大容量的光纤传输在空气中高损耗的无线信号。ROF系统的关键技术之一是毫米波的产生,而用载波抑制调制方式产生毫米波,可以增大接收端的灵敏度,减少频率利用率和射频波的带宽需求[1]。随着用户信息量的增加,光纤到户(FTTH)基带信号的运用也越来越普遍,将ROF信号和基带信号同时传输的技术,在未来大容量的传输系统中将得到广泛的应用。

本文先仿真验证了用四波混频(FWM)产生载波抑制毫米波的ROF传输系统[2],并在此基础上传输基带信号和上行链路信号,即在中心站运用高非线性光纤(HNLF)产生载波抑制边带,其中的一条边带承载基带信号,传输到基站后滤出另一条边带传输上行链路信号,根据结果比较出两种情况中下行链路信号的抗色散能力变化,并观察加入的两路信号的误码率曲线,分析它们的能量损耗。

1 传输混合信号的系统描述

传输混合信号的系统框图如图1和图2所示,两图中的细线部分为下行链路的传输系统,在中心站处,如图1中细线所示,先将两路信号进行耦合:一路是中心频率为f1的连续光波激光器(DFB-LD)与频率为10 GHz的射频信号(RF)经马赫-曾德调(制器MZM)调制形成20 GHz的载波抑制边带;另

一路是核心网传输来自中心频率为f2、经MZM调制后的下行链路信号。两路信号耦合后进入1 km的HNLF。由于光纤的非线性效应,传输后的信号在距频率f2的上下20 GHz处,形成了和中心频率相同、能量稍弱的信号。用一个高斯带通滤波器(BPF1)滤掉f1处的泵浦及混频信号,再用梳状滤波器(IL1)把频率为f2处的泵浦滤掉,剩下一个为射频信号4倍的载波抑制信号,经过标准单模光纤(SMF)传输到基站。如图2中细线所示,信号经过一个光/电(O/E)检测器后进行信号的解调分析[3]。LPF表示低通滤波器。

图1、图2中的粗线部分表示下行链路传输系统扩展传输了基带信号和上行链路信号[4]。在中心站处,如图1中粗线所示,把中心频率为f3的激光器输出端和f1、f2处的射频信号一起耦合,经过HNLF后,信号在f3对应中心频率的上下20 GHz处,也形成了光波;经过BPF1后滤掉f1处的信号,再经过IL1滤掉频率为f3处的中心泵浦后,形成了间隔为40 GHz的光载波抑制边带,此时,用BPF2、BPF3分别把f2和f3处的信号分离,将基带信号经过强度调制器(IM)调制到f3频段的上边带[3],与剩下的下边带、f2处的下行链路的信号一起耦合传输到基站(如图2中粗线所示),再用BPF4、BPF5分别把f2和f3处信号分离并解调[5];用BPF6将未调制信号的下边带滤出,调制上行链路信号,并传输到中心站进行解调分析。

2 系统仿真及结果分析

在传输下行链路信号的系统中,频率为f1=194.744 THz的信号与频率为10 GHz且振幅为2 V的RF信号调制,其中MZM1的偏置电压为-4 V,消光比为50 dB,生成了载波抑制边带;而f2的频率为195.25 THz,承载的下行链路信号是速率为2.5 Gbit/s的非归零码伪随机序列。频率为f1的泵浦信号与f2处的下行链路信号耦合后各自的功率分别为16和10 dBm,进入1 km的HNLF,其零色散波长为1 561 nm,色散斜率为0.02 ps/(nm2·km),非线性系数为10 W-1/km。由于核心网传输到中心站的距离很长,本文模拟为40 km[2]。

下行链路传输系统扩展传输基带信号的系统中,f3的频率为195.15 THz,且f1处的泵浦信号与f2、f3处的信号功率分别为16、-3和-3 dBm,基带信号是速率为4 Gbit/s的非归零码伪随机序列。如图2中粗线所示,BPF4和BPF5的带宽均为50 GHz,而BPF6的带宽为20 GHz。由于在实际仿真软件中不存在IL,为了达到同样的效果,采用两个3 dB带宽为25 GHz的BPF来代替。

借助Optisystem7.0软件对系统进行仿真,得到系统在加入基带信号传输前后的光谱图和误码率曲线图,并分析了系统的能量损耗变化。图3为考虑基带信号和上行链路前后的光纤链路上的部分光谱图。图3(a)所示为只传输下行链路信号时,中心站处进入SMF前的载波抑制双边带。图3(b)所示为传输基带信号后,中心站处包含基带信号的载波抑制边带与下行链路边带耦合后的光谱图,与图3(a)相比可发现,光谱中不仅有下行链路2.5 Gbit/s的信号,在频率为f3=195.17 THz处还有4 Gbit/s的基带信号。图3(c)所示为基站处滤波器

滤出的只包含有基带信号的载波抑制光谱,从图中可以看出,在光毫米波包含的两个边带中,上边带调制了基带信号,而下边带没有数字信号,所以上边带信号变得比下边带陡峭。图3(d)为基站处重复利用载波抑制下边带,调制上行链路之后的光谱图,由于调制了2.5 Gbit/s的信号,相比图3(c) 而言,在频率195.13 THz处光谱变得更饱满且陡峭。

图4是下行链路信号耦合基带信号进行传输前后的误码率曲线图,图中BTB1(back to back)表示只传输下行链路信号的情况,在经过20 km的SMF传输后,当误码率为10-9时,能量损耗为0.67 dB,约等于0.7 dB,此结果和图3中的光谱图均与现有文献中给出的实验结果相吻合[2]。图4中BTB2表示耦合了基带信号后传输的情况,从图中看出,在加入基带信号传输20 km后,虽然下行链路信号的接收端能量减少,但其能量损耗仍为0.72 dB,相比没有加入混合信号时0.68 dB的能量损耗,只增加了0.04 dB,这个数据是可忽略不计的。由此说明基带信号和上行链路的加入,对下行链路的信号传输和抗光纤色散能力并没有太大影响。

图5为加入两路信号的误码率曲线图,从图中可看出,基带信号(baseband signal,BB)对应的

BTB和20 km情况下的误码率曲线较接近。而上行链路(up)在两种情况下的曲线几乎重叠在一起了,这说明在传输了20 km之后,基带信号和上行链路信号的能量补偿很小,经过测量,基带信号和上行链路的能量补偿分别为0.48和0.1 dB,说明此系统传输这两种信号的性能较好。

3 结束语

本文讨论的ROF系统用1 km的HNLF和BPF代替多个调制器,减少了外调制器的使用。而进行仿真比较的两种ROF系统,一种只传输下行链路信号,另一种则在此基础上传输了基带信号和上行链路信号。不仅将两种不同类型的信号在一个系统内传输,还在基站重复利用边带传输上行链路信号,使得基站结构简化,成本降低。经过数据比较后发现,系统在传输20 km之后,增加传输的基带信号和上行链路信号的抗色散能力都较强,并且没有影响下行链路信号的传输和抗色散能力,说明此系统在混合信号传输中的抗色散能力好,适用于长距离的多信号混合接入传输。

参考文献

[1]Yu Jianjun,Jia Zhensheng,Yi L,et al.Optical Milli-meter-Wave Generation or Up-Conversion Using Ex-ternal Modulators[J].IEEE Photonics TechnologyLetters,2006,18(1):265-267.

[2]Yu Jianjun,Huang Ming-Fang,Jia Zhensheng,et al.Polarization-Insensitive All-Optical Upconversion forSeamless Integration Optical Core/Metro/Access Net-works With ROF Systems Based on a Dual-PumpFWM Scheme[J].Journal of Lightwave Technology,2009,27(14):2 605-2 611.

[3]胡黎亮,陈林,余建军,等.一种改进的双边带调制产生光毫米波的方案[J].光学学报,2008,28(2):238-242.

[4]Lin Chun-Ting,Chen J,Peng Peng-Chun,et al.Hy-brid Optical Access Network Integrating Fiber-to-the-Home and Radio-Over-Fiber Systems[J].IEEE Pho-tonics Technology Letters,2007,19(8):610-612.

基带系统 篇6

一、数字基带传输系统模型概述

目前, 在数字通信传输系统中最为常见的就是数字基带传输统, 其是由数字通信信息发送滤波、接收信息的传输信息道、信息接收滤波器以及数字通信系统数据抽样判决器所组成。虽然数字基带传输系统在实际的应用过程中不如频带传输应用的那样广泛, 但对其进行研究仍具有十分重要的意义[1]。首先, 因为在数字通信系统中的频带传输当中也会涉及到相关的基带传输的问题, 也就是说, 在设计数字通信基带传输系统时所涉及到的问题在设计数字通信系统频带传输时也都将面临的到。其次, 随着社会的不断发展以及数字通信技术的不断提高, 当前的基带传输系统已经不仅仅只是应用在数字通信的低速信息数据传输过程当中, 其同时还将应用于数字通信的高速率传输系统当中。最后, 在进行数字信息传输时, 其中任何一个采用线性调制的数字信息频带传输系统, 总是可以在经过不同的理念转换之后来等同于数字信息基带传输系统[2]。

为了使数字信息基带传输系统在运行过程中能够获得足够小的错误代码率, 故必须在最大限度上来减小信息代码在传输过程中的互相干扰和随机噪声影响。根据奈奎斯特在其第一准则中所得到的无码间串联干扰时的数字信息基带传输特性来进行分析得出其应该满足以下公式:

在本公式中, T代表的是符号间隔。

在实际的系统运行过程中, 为了尽量减小信息代码在传输过程中的互相干扰, 则需要按照奈奎斯特所规定的公式设计准则来进行设计接收和发送已经成型的共轭匹配滤波器。对于公式中所涉及到的理想的物理信息传输道 (C (f) =1) , 根据最佳的数字信息传输原理来将其按照运行标准分成两个组成部分。在每个组成部分当中, 成型的数字信息滤波器的响应平方根应升级到余弦滤波器的响应环节, 两部分的信息数据进行相乘后的传输特征就是奈奎斯特所提到的响应环节。

二、基于滚降系数下的基带传输系统性能分析

本文在基于滚降系数下的基础上建立起了一个数字信息基带传输系统的Somulink仿真系统模型, 该数字信息基带传输系统仿真模型中包括二进制数字信息源、数字信息发送滤波器、数字信息高斯传输信道、数字信息接收匹配滤波器、数字信息接收采样、数字通信系统数据抽样判决器以及数字信息信号测量七个部分, 如图2。

在对该仿真模型进行设计过程中, 数字信息发端数据应采用括二进制数字信息源, 即双极性不归零码, 而该系统中所涉及到的数字信息发端成型滤波器和数字信息接收端匹配滤波器均应采用平方根升余弦滤波器来进行设计, 与此同时还应采用AWGN数字信息接受传输道[3]。

而当数字信息接收与发送端的滚降系数与该模型不匹配时, 其对数字信息基带传输系统的Somulink仿真系统模型的系统运行功能性具有极其大的影响。也就是说, 在数字信息基带传输系统的信息接收与发送的滤波不品配时, 其中的数字信息发送端的滚降系数越大, 则基带传输系统的信号成形性能越好, 信号频谱也就波动越集中, 数据带外抑制也就越好;数字信息接收端的滚降系数的变化对整个基带传输系统的错误代码率的影响也会相应的减少, 但还是会有一定的影响。也就是说, 数字信息基带传输的数据发送端与数据接收端的滚降系数的选取越为接近, 那么数字基带传输系统的性能也就越好, 出现错误代码的几率也就越小。

结论:综上所述, 已经成形的基带传输系统中的滤波技术是在当前信息传输道中提高频谱利用率的最为简单易行并且能够取得较好效果的方法之一, 同时也是实现当代高速运转的数字通信基带传输系统的关键所在。而滚降系数作为在设计成形基带传输系统中滤波技术的主要参考数据, 当系统运行中的滚降参照系数与所规定的数据不匹配时, 对利用不同调配方式来设计出的数字通信基带传输系统的性能影响就有了较为广泛的研究。

摘要:随着我国社会的不断发展与进步, 社会中的各行各业在进行生产和运营时对其所涉及到的通信系统的性能要求也越来越高, 在这样的大时代背景下, 使得以往传统的通信传输系统的设计方法已经不再能够满足当前通信系统快速发展的需求。故本文将针对基于滚降系数下的数字基带传输系统的性能以及设计进行总结, 继而对其设计后的基于滚降系数下的基带传输系统的性能进行分析, 以期为今后的基带传输系统设计提供有效的理论参考依据。

关键词:滚降系数,基带传输系统,性能分析

参考文献

[1]左金钟, 马伊民, 习清伶, 等.滚降系数不匹配对基带传输系统的性能影响[J].国外电子测量技术, 2011, 08 (11) :21-28.

[2]冯钢, 吴诗其, 李乐民, 等.一种准最佳数字传输系统的性能分析与实现[J].电子科技大学学报, 2010, 05 (16) :470-476.

基带系统 篇7

(一)TMS320VC5509A的简单介绍

TMS320VC5509A,以下简称C5509A,是TI公司推出的TMS320C55x系列DSP中的一款,与C54x相比,C55x通过增加并行操作及改进功率管理技术,其综合性能提高了5倍,而功耗仅为C54x的1/6。C55x广泛应用在无线手机和个人通信系统、通信基站、数字音频播放器、数码相机等产品中。

C55x系列DSP具有两个17-bit×17-bit的MAC单元,可在单周期内执行两次MAC操作, 还具有一个40-bit的主ALU及一个16-bit的从ALU,可在指令集的控制下,得到优化的并行操作及功率控制。C5509A最高可工作在200MHz,它具有1个6通道的DMA接口,1个USB2.0全速接口,3个McBSP串口,1个I2C接口,64K Bytes的DARAM和192K Bytes的SARAM,此外还1个16-bit的EMIF接口,可提供4个CE空间。目前C5509A的单片价格仅为18.2美元。综合考虑性能、功耗及价格,我们选择了C5509A该芯片来实现本数字对讲机基带系统。

(二)基带系统的硬件架构及工作流程

本文所设计的数字对讲机基带系统的硬件框图如图1所示。在设计上,我们部分借鉴了2G、3G手机中的处理方式,本系统可传送话音、数据,为数据传输或基于数据传输的高层应用预留了空间。

从图1可知,本系统为一典型的DSP应用系统,C5509A的主要任务如图2所示。

语音编译码采用ITU-T G.723.1中的低码率代数码本激励线性预测 (ACELP) 算法,速率为5.3Kbps,每帧30ms,数据为160bits/帧。FEC编码采用 (2, 1, 9) 删除卷积编码,码率为3/4,相应的译码为Viterbi译码,该FEC编解码方案既保证了一定的纠错能力又减小了数据速率。由于译码时移位寄存器的状态由全0开始,且于全0结束,故编码时输入数据后面需添加8个0以清空移位寄存器。这样在发端,每一帧经FEC编码后的数据为224bits,经过16×14的块交织及加扰,加扰数据由截断m序列产生。然后数据进行HDLC组帧,格式为帧头+信令+地址+数据+CRC校验+零插入+帧尾,封装成288bits/帧,即数据速率为9.6Kbps,然后送往调制器调制。

(三)基带系统关键模块的处理实现

1. 语音压缩算法

ITU-T G.723.1采用MP-MLQ/ACELP算法,速率6.3/5.3Kbps可选,该标准为VoIP的语音压缩标准,话音质量较高,其MOS值达到3.8/3.6,接近长话质量 (MOS=4) ,综合考虑速率、算法复杂度及话音质量,我们选择了ITU-T G.723.1用于本数字对讲机基带系统。

在实际应用中,若直接使用ITU所提供的C源代码,C5509A完成一帧的编码需45401454个周期,假设C5509A工作在最高频率即200MHz,也需227ms,而G.723.1的单帧时长为30ms,故代码必须要经过优化。

具体优化步骤如下:

(1)由于本系统只采用5.3Kbps算法,故将6.3Kbps算法的相关程序去掉以减小代码尺寸。

(2)使用合适的编译器优化选项:–o2。o2是函数级优化,它除了进行寄存器级别及局部级别优化外,还执行循环优化及排除全局不用的赋值等操作。

(3)使用内联 (intrinsic) 函数。C55x编译器提供了许多内联函数,可高效优化C代码。

(4)根据数字语音处理原理对程序进行简化。比如在开环基音估测函数Estim_Pitch中,基音周期范围为18到139。在基音周期较短时,基音频率较大,对语音信号编码质量影响较大,但在基音周期较长时,基音频率较小,对语音信号编码质量影响较小。所以基音周期从18到58之间采用逐点计算搜索,从59到139之间采用隔点计算,可以减少运算量。

(5)其他优化。比如用条件运算符替代if...else...语句,将计算语句置于循环体外等。

优化后,对一帧数据编码所用时钟周期数为1064812,解码为95139,若C5509A工作在150MHz,则对一帧数据编码只需7.1ms,解码只需0.63ms,足以完成语音的实时处理。主要函数优化结果如表1所示。

2. Viterbi译码

Viterbi算法是一种最大似然译码算法,它是通过计算累积码距,在卷积码网格图上寻找一条与接收序列具有最小码距的最大似然路径,然后通过路径回溯重构接收数据。

本系统采用定长帧,待Viterbi译码的数据为168组,每组2bits,由于采用 (2, 1, 9) 编码,故移位寄存器的状态数为28,即256个。算法的流程如下:

(1)对一新输入的定长帧,从时间单位j=0开始,对于状态0-2j,计算进入每个状态的路径并存贮其部分量度;

(2) j加1, 若j<8,重复步骤 (1) ,否则,进入步骤 (3) ;

(3)对于所有状态,计算进入每一状态的所有路径的部分量度,选择具有最小量度的路径,更新该状态的路径并存贮其部分量度;

(4) j加1, 若j<168,重复步骤 (3) ,否则就停止,进行路径回溯,取出最大似然估值序列并输出。

对于删除后的数据首先进行补0操作,然后再进行Viterbi译码,在计算量度时,补0数据不参与计算。量度值采用欧式距离计算,对于 (2, 1, 9) 编码,相应的分支量度值为

其中Gn (J) 为网格图上每个状态节点的期望编码输出码元,通过查表取出,RSn为接收码元。为计算方便,二者都采用双极性表示。这样分支量度值的计算可以简化为数据的加和减。

C55009A一些指令如ADDSUB, SUBADD和MINDIFF等,它们可方便,高效的完成各个状态路径量度值的累加、比较和选择,故本模块采用全汇编编写。最终,本模块对一帧数据进行删除卷积编码需17137个周期,Viterbi译码需2178015个周期,若C5509A工作在150MHz,则对一帧数据删除卷积编码只需0.11ms,译码需14.5ms,满足实际要求。

(四)结束语

本系统程序采用C与汇编混合编写,最终,对每一帧数据,发端程序平均所需周期数为1.5×106,收端为2.5×106, C5509A采用150MHz系统时钟时,在实际的电路板上,本数字对讲机基带系统可正常工作,收端可实时收到发端传来的连续、清晰的话音。若想进一步降低工作频率,可将程序采用全汇编实现。

参考文献

[1]SPRS205J TMS320VC5509A Fixed-Point Digital Signal Processor Data Manual[M].Texas Instruments, 2007.

[2]Texas Instruments.TMS320VC5509A.http://focus.ti.com.cn/cn/docs/prod/folders/print/tms320vc5509a.html

[3]王洪, 唐凯.低速率语音编码[M].北京:国防工业出版社, 2006.

[4]SPRU281F TMS320C55x Optimizing C/C++Compiler User's Guide.Texas Instruments.2003.

上一篇:物联通信技术分析下一篇:改进和完善