数字化解调

2024-10-18

数字化解调(共7篇)

数字化解调 篇1

摘要:提出一种新的FM信号数字化解调实现方案。该方案在研究CORDIC算法原理和结构的基础上对其收敛域范围进行了扩展,能解调调制指数较大的宽带调频信号,适合在软件无线电中的应用。扩展收敛域CORDIC算法模块免去了传统的CORDIC算法中的预处理和后处理过程,降低了计算的复杂度和系统的硬件资源消耗。通过Matlab系统仿真和Modelsim硬件描述语言仿真,在SNR≥0dB和载波频偏△fc≤100ppm[1]的情况下,均具有较好的解调效果。

关键词:FM解调,扩展收敛域,CORDIC算法,软件无线电

调频是常用的调制方式之一,也是超短波通信的主要工作模式,随着通信技术的进步和大规模集成电路的发展,FM信号的数字化解调扮演着越来越重要的角色,在军事和民用领域都有广泛的应用[2]。

传统的FM信号数字化解调可采用脉冲计数式鉴频法和叉积鉴频法等方法实现。对于脉冲或数字信号,脉冲计数式鉴频器利用信号频率就是信号脉冲个数的原理进行鉴频。脉冲计数式鉴频器具有线性鉴频范围大、便于集成化等优点,但只能适用于信号速率较低的情况。叉积锁频环又称叉积自动频率跟踪环,采用对预处理后的I/Q信号进行叉积鉴频的方法。叉积鉴频器可用FPGA实现,解调性能良好,但只适用于窄带调频信号的解调,由于要用到除法运算,一旦出现载波频率偏差,计算结果误差很大。针对以上方法的不足,本文提出一种硬件效率较高的CORDIC算法来实现FM信号的数字化解调,在此基础上,通过修改迭代序列对CORDIC算法进行了改进,扩大了能解调的FM信号的调频指数范围,因此能应用于宽带调频信号的解调。

1 FM信号数字化解调构架

FM信号的数字化解调在FPGA中的实现框图如图1所示。

r(t)=s(t)+n(t)为接收的中频信号,其中s(t)=Acos[2πfct+kf∫m(t)dt]为已调FM信号,n(t)为N(0,σ2)的高斯白噪声,m(t)为调制信号,A为信号幅度,kf为调频灵敏度,ωc=2πfc,ωc为载波角频率,fc为载波频率。

数字化解调系统需要产生相互正交的载波信号,用于相干解调的本地载波信号可以利用直接数字频率合成(DDS)的方式产生。DDS由CORDIC算法模块和相位累加器组成。

考虑相干解调下可能存在载波频率偏差,设载波频偏为△ωc,△ωc=2πVfc≥0。经乘法器相乘之后的信号为:

经LPF后的信号为:

式中nc(t)为n(t)cos(2πfc+2π△fc)t经LPF的等效噪声分量,ns(t)为n(t)sin(2πfc+2π△fc)t经LPF的等效噪声分量,均服从N(0,σ2)分布。

若不考虑噪声的影响,由式(3)和式(4)可以得到:

可以利用图1中的扩展收敛域CORDIC算法模块来计算反正切函数,得到:

再经过差分器,可求得最终结果:

可见当有频偏△fc时,解调输出增加了一个直流分量△ωc,除去直流分量,并经适当的幅度调整可得到调频信号m(t),从而得到FM信号的解调输出。

2 CORDIC算法

CORDIC算法是由J.E.Volder[3]最先提出的,它是一种迭代的算术算法,通过相位旋转的方式求取各种基本函数的值。基于移位和相加方式实现的CORDIC算法是一种高效的硬件处理算法,非常适合在FPGA中应用。该方法只需要进行简单的加减运算和移位运算,可以很方便地实现复杂度和电路速度的折中,并且通过增加迭代次数,可以使得误差任意小[4],本文所需要的反正切函数可以用该算法实现。

CORDIC算法有圆周坐标、双曲线坐标和线性坐标3种坐标,旋转模式和向量模式2种模式。CORDIC算法的通用迭代公式[5]可表示为:

其中:m=1对应圆周坐标,m=-1对应双曲线坐标,m=0对应线性坐标。CORDIC算法的一次迭代结构如图2所示。

图2中,

在旋转模式下,σj+1=sgn(zj+1),在向量模式下,σj+1=-sgn(yj+1),sgn(·)为符号函数。

本文需要求反正切值,取m=1,σj=-sgn(yj),aj可用查表法或直接计算的方法求得。由于圆周坐标的CORDIC算法的收敛范围为:

在进行N次迭代之前,需经过预处理将输入信号处理到收敛范围内,迭代结束后再进行一次后处理,得到预期的输出。

令初始值为:

经过预处理和N级迭代后,再进行后处理,可求得最终迭代结果为:

除去直流分量,并经适当的幅度调整可得到调频信号m(t)。

3扩展收敛域的CORDIC算法实现方案

CORDIC算法可以克服脉冲计数式鉴频法和叉积鉴频法的缺点,但仍需要进行复杂的预处理和后处理,而且对较大调频指数的宽带调频信号无能为力。参考文献[6]中提到一种扩展收敛域的CORDIC算法,通过修改迭代序列可改变收敛域的大小。在扩展收敛域的CORDIC算法中,采用迭代公式如下:

并修改迭代序列如下:

可使最大的收敛角度为:

修改迭代序列后的CORDIC实现结构如图3。

采用了扩展收敛域的CORDIC实现结构之后,无需进行预处理和后处理,降低硬件实现复杂度。在普通的CORDIC实现结构中,最大的调频指数的范围不大于1.743 29,采用扩展收敛域后,若采用式(14)的迭代序列,可将最大的调频指数扩大到3.314 1。如需解调更大调频指数的FM信号,可以进一步扩大收敛角度的范围,只需要修改式(14)的迭代序列即可。如i=-4,-3,-2,-1,0,0,0,1,2…。由于式(14)的迭代序列综合考虑了信号的收敛域的范围和幅度增益,算法将采用其迭代序列进行仿真。

4算法仿真与FPGA实现

文中对扩展收敛域CORDIC算法的FM信号数字化解调进行了MATLAB理论仿真和FPGA硬件仿真。在Matlab7.1的仿真环境中,载波频率fc=1 920,采样频率fs=1 920×16,调制频率fm=192,幅度A=5 000,调频灵敏度kf=3,分别对存在高斯白噪声、存在载波频偏的情况进行了解调结果仿真。

在无噪声和载波频偏△fc=100 ppm的情况下,解调结果如图4所示。

在高斯白噪声SNR=0 dB和载波频偏△fc=100 ppm的情况下的解调结果如图5所示。

从仿真结果可以看出,在无噪声和载波频偏△fc=100 ppm时解调结果时域和频域波形良好,可以得到预期的解调效果,说明频偏在一定范围内时不影响解调结果。图5中的时域波形有过冲且波形出现了一定程度下的失真,但是从频域结果可以得到信号的频率。

为了验证其硬件实现的效果,用Verilog HDL语言描述了图1中的扩展收敛域CORDIC算法模块,并用Quartus-II软件综合了RTL代码,在Altera公司的型号为EP2S90F1020C5的FPGA上进行了硬件仿真实验。仿真中采样频率为100 MHz,载波频率、调制频率、幅度和调制指数均和Matlab仿真中的一致。在SNR=0 d B和△fc=100 ppm的情况下,在Modelsim中的仿真结果如图6所示。

从图6中可看出,FPGA的仿真结果和Matlab的仿真结果基本一致,可以在SNR=0 dB时解调FM信号。电路能满足较快的运算速率和较高的吞吐量要求。整个扩展收敛域CORDIC算法模块只占了系统1%(1040/72768)的逻辑单元,因此所使用的FPGA有足够的资源来完成其他模块的开发。

参考文献

[1]陈邦媛.射频通信电路[M].北京:科学出版社,2004.

[2]杨小牛,楼才义,徐建良.软件无线电原理与应用[M].北京:电子工业出版社,2001.

[3]VOLDER J E.The CORDIC trigonometric computing technique[J].IRE Transactions on Electronic Computers.1959,8(3):330-334.

[4]MITOLA J.Software radio[J].IEEE Communications Magazine,1995:24-25.

[5]WALTHER J S.A unified algorithm for elementary functions[C].Proceedings of spring joint computer conference.Atlantic City,USA:ACM,1971:379-385.

[6]HU Xiao Bo,HARBER R G,BASS S C.Expanding the range of convergence of the CORDIC Algorithm[J].IEEE Transactions on Computers,1991,40(1):13-20.

数字化解调 篇2

1 多进制数字频率调制系统模型

用于数字传输的最简单频率调制形式是二进制频移键控(FSK)。在二进制FSK中,采用两个不同的频率f0和f1=f0+Δf来传送二进制信息序列[1]。分析频率间隔ΔF=f1-f0的选择。于是,两个信号波形可以表示为:

式中ζb为每比特的信号能量,Tb为比特间隔。

一般地,M进制FSK可用来传送由k=1b M个比特构成的信息分组。在这种情况下,M个信号波形可以表示为

式中ζs=kζb为每个码元的能量,T=k Tb为码元间隔,Δf为连续频率之间的频率间隔,即Δf=fm-fm-1,其中fm=fc+mΔf。

MFSK信号波形具有相等的能量ζs,频率间隔Δf决定了对M个可能的发送信号的识别程度[2]。作为一对信号波形相似性(或相异性)的度量,可以定义如下相关系数:

将um(t)和un(t)代入式(3)则有

(4)

当fc>>1/T时,上式中第二个积分项为零。图1给出了γmn关于频率间隔Δf的函数关系曲线,可以看出,当Δf是1/2T的整数倍时,信号波形是正交的。

因此,满足正交性的相邻频率的最小频率间隔为1/2T。还应该注意到,当频率间隔Δf=0.715/T时,相关系数达到最小值,γmn=-0.217。

M进制正交FSK信号波形可以用如下M个M维的正交矢量表示:

其中,基函数为 。对于所有的m和n,任意两个信号矢量之间的距离均为 ,这也是M个信号之间的最小距离。

2 调频信号的解调系统分析

假定在加性高斯白噪声信道中传输FSK信号,并且假设各信号在信道传输过程中都会产生相位偏移。于是,解调器输入端经滤波的接收信号可以表示为:

式中ψm表示第m个信号的相位偏移,n(t)表示加性带通噪声,可以表示为

n(t)=nc(t)cos2πfct-ns(t)sin2πfct (7)

MFSK信号的解调方法有两种。一种方法是估计出M个载波相位偏移{ψ m}并进行相位相干解调(phase-coherent demodulation)。另一种方法则在FSK信号的解调中忽略载波相位,这种方法称为非相干解调(noncoherent demodulation)。

2.1 M进制FSK信号的相位相干解调

在相位相干解调中,接收信号r(t)与M个可能接收信号cos(2πfct+2πmΔft+ψ m), m=0,1,…,M-1中的每一个进行相关运算,其中{φ m}为载波相位估计[3]。这种解调方法的方框图如图2所示。可以注意到,当φm≠φm ,m=0,1,…,M-1,(即非完美相位估计)时,解调器中信号正交所需要的频率间隔为Δf=1/T,这个频率间隔是φ =φ 时信号正交性最小频率间隔的两倍。

对M个载波相位进行估计的要求,使得对FSK信号进行相干相位解调变得非常复杂,而且不切实际,特别是在信号数量很大的情况下尤为明显[4]。因此,本文不再分析FSK信号的相干检测。

2.2 M进制FSK信号非相干解调

下面分析一种无需知道载波相位的解调方法,M进制FSK信号非相干检测的解调如图3所示。

在这种情况下,每个信号波形有两个相关器,即总共有2M个相关器。接收信号与如下基函数进行相关运算:

相关器的2M个输出在信号间隔结束时刻被采样,并将得到的2M个样本馈送至检测器[5,6,7]。因此,如果发送第m个信号,则检测器的2M个样本可以表示为:

式(8)和式(9)中nkc和nks表示采样输出中的高斯噪声分量。

可以看出,当k=m时,检测器的采样值为:

而且,如果两个连续频率的频率间隔为Δf=1/T,那么当k≠m时,样本ykc与yks中的信号分量为零,而与相移 ψk的取值无关[8,9,10]。在这种情况下,其他2(M-1)个相关器的输出仅包含噪声分量,即

ykc=nkc,yks=nks,k≠m (11)

在下面的推导中,假定Δf-=1/T,这样信号就是正交的。

容易证明,2M个噪声样本{nkc}与{nka}值为0、方差均为σ2=N0/2的随机变量[11,12,13,14]。因此,ymc与yms在条件σ m下的联合条件概率密度函数为

并且当m≠k时,有

如果给定2M个随机变 量的观测 值 {yk c, yk s,k=0,1,…,M-1},则最佳检测器将选择最大后验概率所对应的信号

P[发送信号sm│y]≡P(sm│y),m=0,1,…,M-1 (14)

其中,y是元素为{ykc,yks,k=0,1,…,M-1}的2M维矢量。

3 结语

多进制数字调制系统具有很多优势,在信息速率相同时,m进制的码元宽度是二进制的log2m倍,这样可以增加码元的能量和减小码间串扰的影响,提高频带利用率;在码元速率(传码率)相同条件下,可以提高信息速率(传信率),使系统频带利用率增大;在接收机输入信噪比相同的条件下,多进制数据传输系统的误码率比相应的二进制系统要高;多进制数字调制通常用在信息传输速率较高的场合,目前卫星通信、微波通信等广泛采用。

摘要:文章介绍了多进制数字调制中的频率解调。文中在多进制数字频率调制系统模型基础上,引入了M进制频率调制信号的表达式,采用嵌入傅氏变换理论和概率密度函数的方法,对M进制FSK信号的相位相干解调和非相干解调系统进行了分析,得到了系统的检测器采样值和最佳检测器将选择最大后验概率所对应的输出信号表述式的解析结果。

数字化解调 篇3

关键词:FM-AM转换,数字解调,正弦调频,线性调频

0 引 言

调频信号是实际应用中经常碰到的信号, 例如在电容、电感等参量型传感器组成的电桥输出中, 信号的输出可以是调频波;在通信信号将所需传输的信息对载波进行调制, 最常用的载波为连续正弦波, 而调制方式很多情况下也是调频波;在雷达的一类探测系统中, 发射的是连续正弦波或周期脉冲调制的正弦波, 而接收的回波则是受目标特性调制的调幅-调频信号。

对线性调频信号, 主要是围绕Wigner-Ville分布 (WVD) 形成了许多有用的信号检测方法[1,2]。这些方法的共同特征是要进行一些类似傅里叶变换的运算, 显然不利于硬件的实时实现, 同时也限制了计算速度。然而对正弦调频信号, 主要的参数解调方法有:基于ARMA模型的参数估计方法、能量分离算法、复FM信号模型辨识法等[3,4]。Teager-Kaiser能量算子是一个对任意AM-FM信号都能够有效分离[4,5,6], 并检测调幅和调频信息的信号算子。但在实用中对于离散时间AM-FM信号的解调和调频信息的提取, 需用滤波器组加以实现, 这是有待解决的问题。复FM信号模型辨识方法有点类似于正弦调频信号的模拟电路解调方法。在求取瞬时自相关函数的基础上, 利用瞬时自相关函数的功率谱图准确地确定载波频率和调制频率。调制因子则通过离散傅里叶变换和反变换进行。通过求取两个Bessel函数之比, 并通过Bessel函数查表, 得到调制系数的估计。这种方法明显的缺点是计算比较复杂, 不利于硬件实现。

本文讨论基于线性滤波器信号输出的FM-AM转换调频信号数字解调技术, 在数字实现上它没有能量算子的缺点, 而且具有较好的抗噪能力。

1 FM-AM转换时频分析原理[7,8,9,10]

首先考虑一般的调幅-调频离散信号:s (m) =a (m) exp[jφ (m) ], 让其通过一个线性滤波器h (m) , 假设滤波器的长度为N, 则对应的输出响应为:

y (m) =h (m) *s (m) =p=0Ν-1h (p) s (m-p) =p=0Ν-1h (p) a (m-p) ejφ (m-p) (1)

将其中的φ (m-p) 用Taylor级数展开, 有:

φ (m-p) =φ (m) =pφ˙ (m) +ε[φ¨ (m) ] (2)

将式 (2) 代入式 (1) 得:

y (m) =p=0Ν-1h (p) a (m-p) ej{φ (m) -pφ˙ (m) +ε[φ¨ (m) ]} (3)

考虑在滤波器作用范围内, 幅度a (m) 是一个缓变量, 实际上在所研究的伪码体制及其复合引信信号中, 幅度是一个常数, 式 (3) 可变为:

y (m) =a (m) p=0Ν-1h (p) ejφ (m) e-jpφ˙ (m) ejε[φ¨ (m) ]=a (m) ejφ (m) p=0Ν-1h (p) e-jpφ˙ (m) ejε[φ¨ (m) ] (4)

若在滤波器作用范围内, 信号的二次项误差可以忽略, 那么式 (4) 可以近似为:

y^ (m) =a (m) ejφ (m) p=0Ν-1h (p) e-jpφ˙ (m) =s (m) p=0Ν-1h (p) e-jpφ˙ (m) (5)

对滤波器的系数h (m) , 其傅里叶变换为:

Η (ejω) =p=0Ν-1h (m) exp (-jωm) (6)

参考式 (6) , 式 (5) 可以表示为:

y^ (m) =s (m) Η[φ˙ (m) ]=s (m) Η[ω (m) ] (7)

式 (7) 表明, 对一定的滤波器h (m) , 其频率传递特性和滤波后的信号输出中包含了被分析信号的瞬时频率特性。例如, 假设知道滤波器h (m) 的频率传递特性的数学表示和待分析信号的瞬时幅度s (m) , 则可求出信号的瞬时频率:

ω (m) =φ˙ (m) Η-1[y^ (m) /s (m) ] (8)

式 (8) 表明, 若已知信号的瞬时幅度, 且设置的滤波器是频率的单值函数, 则可以解析求出信号的瞬时频率, 但通常信号的瞬时幅度是未知的, 且考虑了去除噪声的需要, 一般采用两个重叠的滤波器来进行频率获取。

假设有两个滤波器H1 (ω) 和H2 (ω) , 它们同时作用于信号s (m) =a (m) exp[jφ (m) ], 根据式 (7) , 在同一时刻n, 它们各自的输出可以分别表示为:

|y1 (n) |=a (n) |Η1[ω (n) ]| (9) |y2 (n) |=a (n) |Η2[ω (n) ]| (10)

为了求出瞬时频率, 二者相除, 得到:

|Η2[ω (n) ]||Η1[ω (n) ]|=|y2 (n) ||y1 (n) | (11)

定义:g[ω (n) ]=|Η2[ω (n) ]||Η1[ω (n) ]|=v (n) , 则:

ω (n) =g-1[v (n) ] (12)

2 分析滤波器组设计

2.1 高斯滤波器及频率计算

为了解析地求出信号的瞬时频率, 由式 (12) 可知, 必须知道两个分析滤波器的传递函数相除后的数学表达式。一种很容易满足这一条件的滤波器是高斯滤波器, 假设两个高斯型滤波器, 它们的中心频率分别为ω1和ω2, 其传递函数为:

Η1 (ω) =exp[-a1 (ω-ω1) 2] (13) Η2 (ω) =exp[-a2 (ω-ω2) 2] (14)

二者相除得:

g[ω (n) ]=|Η2[ω (n) ]||Η1[ω (n) ]|=|exp[-a2 (ω-ω2) 2]|exp[-a1 (ω-ω1) 2]=|y2 (n) ||y1 (n) | (15)

假设二者带宽相等, 即a1=a2=a, 对式 (15) 两边取对数得:

a-1log (|y2 (n) ||y1 (n) |) = (-2ωω1+ω12) - (-2ωω2+ω22) (16)

u (n) =log (|y2 (n) ||y1 (n) |) , 解上述方程得到瞬时频率为[7]:

ω (n) =u (n) /a+ (ω22-ω12) 2 (ω2-ω1) =u (n) 2a (ω2-ω1) +ω1+ω22 (17)

有时待分析信号的带宽较大, 一组滤波器的有效频率分析范围不能满足信号的带宽要求, 此时就要采用多组滤波器技术。若仍以高斯滤波器作为分析滤波器, 则采用上面的等带宽高斯滤波器。因此瞬时频率的计算方法与式 (17) 类似, 即为:

ω (n) =uji (n) 2a (ωj-ωi) +ωj+ωi2 (18)

式中:ωiωj是相应工作滤波器的中心频率, 其中:

uji (n) =log (|yj (n) ||yi (n) |) (19)

这种形式的滤波器对高频具有高的时间分辨率, 而对低频部分则提供比较高的频率分辨率。如果相邻滤波器的中心频率和带宽满足条件ωj=2ωiaj=ai/2, 则式 (18) 将变为:

ω (n) =[2 (ui+1, iai+ωi2) ]1/2 (20)

推广上面所讨论的滤波器组到更为一般的形式, 即滤波器具有任意带宽、任意中心频率, 假设工作滤波器组的频率分别为ωiωj, 带宽分别为aiaj, 则有[10]:

ω (n) =-b+b2-r (ai-aj) c (n) 2 (ai-aj) (21)

式中:b=2 (ajωj-aiωi) , c (n) =aiωi2-ajωj2-uji (n) , 并且:ωj>ωi

2.2 本文的算法及通道选择方法

本文选用等带宽结构的高斯型滤波器组, 与通常子带分析滤波器组不同的是, 由于无须考虑信号分解后的恢复, 测频分析滤波器组设计并不需要考虑信号分解的完备性问题, 即滤波器间可以有一定的混叠, 在实际实施中, 采用设置阈值的方法将消除混叠的影响。而且, 测频分析滤波器组的每个子带由两个相同形状的带通滤波器组成, 不同的只是把原型滤波器移到了不同的中心频率。

采用上述思想, 整个基于FM-AM瞬时频率分析理论的实现算法如下:

Step1:设计一个P阶 (本文为32) 的原型低通高斯滤波器;

Step2:将整个频率空间分成N子带, 每个子带都具有相同的结构和相同的相对带宽, 形成分析滤波器组, 如图1所示;

Step3:信号进入滤波器组后, 判断能量最大值所在的滤波器通道;

Step4:计算信号滤波输出比;

Step5:根据滤波输出比求取瞬时频率。

有四种基于能量的通道选择方法:

(1) 幅度估计能量, 即Ai2;

(2) 频率估计能量, 即ωi2;

(3) 幅度和频率的乘积 (也称为Teager能量) , 即Ai (t) ωi (t) ;

(4) 两个相邻滤波器的输出乘积, 即|yi (t) ·yi+1 (t) |。

这里采取第 (4) 种判断方法, 即当两个相邻滤波器的输出值同时大于设定阈值时, 选择该通道作为分析滤波器组。

3 仿真实验与分析

根据上述算法, 对两种调幅-调频信号进行了幅度和频率特征提取分析。

采用上面介绍的基于双滤波器输出比的时频分析思想和设计的双滤波器组, 对常用的正弦调频和线性调频两种类型的调频信号, 在不同的背景条件下进行了仿真实验, 并给出了信号的频率-时间图和对应的参数估计。考虑噪声问题, 实际仿真预先设置一个信号阈值, 大于阈值的输出用来计算输出比, 并查表获取频率值, 否则信号频率等于前一计算频率。

3.1 正弦调频信号的数字解调

Am为归一化幅度;f0是载波频率;ΔF是频率偏移量;fm是调制信号频率, 则正弦调频信号的数学模型s (t) 及对应的瞬时频率f (t) 分别表示为:

s (t) =Asinθ (t) =Asin[2πf0t+Δf2fmsin (2πfmt) ] (22) f (t) =12πdθ (t) dt=f0+Δf2cos (2πfmt) (23)

采用归一化频率, 假设待分析的正弦调频信号载频f0=0.5, 调制信号频率为0.002 1, Δf=0.42。即信号的最大频率为0.71, 最小频率为0.29。

仿真实验分以下两个条件进行:无噪声的正弦调频信号;有噪声的正弦调频信号。解调结果分别如图2所示。

3.2 线性调频信号的数字解调

线性调频信号的数学模型s (t) 及对应的瞬时频率f (t) 分别表示为:

s (t) =Asinθ (t) =Asin[2π (f0t+kt2/2) ] (24) f (t) =12πdθ (t) dt=f0+kt (25)

式中:f0的定义同上;k是线性调频斜率。采用归一化频率, 假设待分析的线性调频信号载频f0=0.19, k=100。仿真实验分以下两个条件进行:无噪声的线性调频信号;有噪声的线性调频信号。解调结果如图3所示。

3.3 参数估计

从所得的时频分布图中, 很容易得到信号的参数。对正弦调频信号, 极值频率出现的周期代表了信号的调制周期fm, 这是一个可以准确估计的参数, 这里不再列出。设从上面通过双滤波器组获得的信号最大频率和最小频率为fmax和fmin, 则:

f0= (fmax+fmin) /2 (26) Δf=fmax-fmin (27)

对线性调频信号的参数估计, 采用最小二乘法进行。取数据为 (ti, fi) , lim, lm分别为所选数据的起止标号, ti, fi分别为出现时刻和对应频率值, 由最小二乘法得:

f0=i=lmtifi-1m-l (i=lmti) (i=lmfi) i=lmti2-1m-l (i=lmti) 2 (28) k=1m-li=lmfi-f0m-li=lmti (29)

参数估计结果如表1所示。从表中可知, 算法具有一定的抗噪声能力。

4 结 语

本文研究了基于FM-AM转换的时频分析技术的原理和方法, 分析了基于高斯滤波器的频率求解方法。设计了高斯型滤波器组, 以正弦调频和线性调频信号为例, 采用FM-AM时频分析技术对它们的频率特征进行了数字解调。仿真结果表明, 这种基于FM-AM转换时频分析技术能较好地进行调频波的数字解调, 且具有一定的抗噪声能力。在这种方法的实施过程中, 实际上频率求解部分可采用查表方法实现, 因此整个算法可以不含求根、变换等复杂运算, 所以易于硬件实现和并行计算, 并且整个算法以数字滤波器的输出信号为基础, 因此具有一定的实用价值。

作为示例, 本文仅讨论了正弦调频信号、线性调频信号的时频分布, 实际上对其他类型的调频信号, 本方法同样适用。而且对于一般调频广播系统中的调频波, 也可以采用本文的方法进行数字解调。

参考文献

[1]郭汉伟, 王岩, 杨风风, 等.基于小波Randon变换检测线性调频信号[J].国防科技大学学报, 2003, 25 (1) :91-94.

[2]陈韶华, 相敬林.基于Laguerre变换的线性调频信号检测[J].西北工业大学学报, 2003, 21 (5) :616-620.

[3]张贤达, 保铮.非平稳信号处理与分析[M].北京:国防工业出版社, 2001.

[4]Maragos P, Haiser J F, Quatieri T F.Energy Separation inSignal Modulation with Application to Speech Analysis[J].IEEE Trans.on Signal Proc., 1993, 41 (10) :3 024-3 051.

[5]Petros Maragos, James F Kaiser, Thomas F Quatieri.OnSeparating Amplitude from Frequency Modulations usingEnergy Operators[A].ICASSP[C].1992 (2) :1-4.

[6]Alan C Bovik, Petros Maragos, Thomas F Quatieri.Demodu-lation of AM-FM Signals in Noise using Multiband EnergyOperators[A].IEEE International Symposium on Informa-tion Theory[C].1993, 17 (22) :427-427.

[7]Bovik A C, Havlicek J P, Desai M D, et al.Li mits on Dis-crete Modulated Signals[J].IEEE Trans.on Signal Process-ing, 1997, 45 (4) :867-879.

[8]Bovik AC, Havlicek J P, Desai MD.Theorems for Discrete Fil-tered Modulated Signals[A].ICASSP[C].1993:153-156.

[9]Robert A Baxter, Thomas F Quatieri.AM-FMSeparation u-sing Shunting Neural Networks[A].Proceedings of theIEEE-SP International Symposium on Ti me-Frequency andTi me-Scale Analysis[C].1998, 6 (9) :553-556.

数字化解调 篇4

MSK (最小频移键控) 信号是一种相位连续、包络恒定并且占用带宽最小的二进制正交FSK信号[1], 这些特点使它比较适合在窄带信道中传输, 因此被广泛应用于卫星通信和无线移动通信中的数据传输[2]。

研究出低误码率又便于实现的MSK数字解调技术对它的应用具有重要的意义[3]。常用的MSK解调方法有相位相干解调法、频率相干解调法、非相干解调法、正交差分解调法等[4], 相比其他的解调方式, 差分解调算法有着自身的很多优点。本文通过仿真实验讨论了MSK差分解调算法中采样点数和判决方法对误码率性能的影响, 在此基础上提出了一种改进的差分解调判决方法, 该方法具有较好的误码率性能, 并且更加易于实现。最后, 本文利用Xilinx公司的FPGA芯片实现了优化后的MSK差分解调算法。

1 MSK信号差分解调算法的特点

MSK信号的第k个码元可表示为[1]:

其中, ωc=2πfc是载波角频率, ak=±1是码元宽度为TS传输速率为R=1/TS的输入数据, φk=nπ是在第k个码元持续时间内的相位常数。

文献[5]给出了差分解调算法的原理, 此处不再赘述。MSK算法有一个最大的优点就是差分解调算法对频偏和相移不敏感。可以证明, 只要频偏△f满足:

TS/N≤△t≤TS, N为一个TS内的采样点数, 就可正确解调出ak。

2 差分解调算法的改进

2.1 采样点数的改进

若在一个码元宽度TS内总采样点数为N, 解调结果在第n个采样点计算, 1≤n≤N, 即△t=n TS/N, 则 (2) 变为:

由此可见, N越大, 则频差△f的取值范围越大, 即解调算法对频偏越不敏感。

图1为不同的采样点数下, 误码率性能比较。图中N为采样点数, 可以看出, N越大, 误码率性能越好, 但同时占用的资源也越多, 因此, 在选择采样点数的时候要考虑性能和硬件资源的折中。

2.2 判决模块的改进

在判决模块中, 一般是对一个码元周期内各个采样点上按照差分解调算法计算的结果Y (t) 进行积分后再判断ak的值[5], 即先将一个周期内每个采样点上计算得到的Y (t) 相加, 如 (4) 式, 最后由Y的值判决ak的值。

然而, 本文经过仿真发现, 将所有采样点的值进行积分后再判决的性能并不会比所有的单点判决 (每个符号周期只取一个点进行解调) 性能好。

图2给出了积分判决时, 不同的叠加点的性能比较。进行仿真时, 码元宽度TS内总的采样点数为16点, 图中“n=1:16”表示将一周期内所有16个采样点计算的Y (t) 进行叠加后再判决, 其它类推。为了更好的比较叠加后判决的性能, 该图也给出了最佳的单点判决 (n=16) 的性能与各种叠加方法得到的性能进行比较。

从图中可以看出, 当把所有16个采样点计算的Y (t) 值进行叠加后再判决, 得到的性能是最差的, 而且远低于最佳的单点判决性能;把所有采样点中后一半的采样点计算的Y (t) 值进行叠加的性能与最佳的单点判决性能差不多;随着所叠加的采样点的位置后移, 误码率性能逐渐提高, 图中将每个码元周期内最后5个采样点叠加后取得最好的性能, 而后, 随着叠加点数的减少性能有所下降, 但不明显, 如图中叠加的采样点取14至16时, 性能就比叠加第12至16个时有所下降。因此, 叠加判决时要选取适当的采样点进行叠加才能有效提高MSK信号的解调性能。本文根据仿真结果认为, 在一个码元周期内选取最后几个采样点进行叠加判决能得到较好的误码率性能和较小的计算量。

3 MSK差分解调的FPGA实现

本文最后利用FPGA芯片实现了优化后的MSK差分解调算法, 图3给出了输入数据与差分解调输出的结果对比, 图中“clk”为时钟脉冲, “ranseq_out”是用FPGA产生的一个随机序列, 作为输入数据源, “demo_out”为解调后的输出结果, “demo_valid”输出为“1”时输出结果有效。结果显示, 改进后的MSK差分解调算法更容易在FPGA里实现, 并且性能良好。

4 结论

本文通过对MSK信号的数字差分解调算法的仿真, 讨论了该算法中采样点数和判决方法对误码率性能的影响, 通过仿真发现, 误码率性能随着采样点数的增加而提高;在单点解调时, 判决点选取越靠近最大采样点的位置误码率性能越好;在此基础上提出了一种改进的差分解调判决方法, 选取适当的部分采样点进行叠加能有效提高解调性能, 易于实现。最后, 在FPGA上实现了优化后的差分解调算法。

参考文献

[1]樊昌信, 曹丽娜, 通信原理[M].国防工业出版社, 2011.

[2]楚克丽, 邓平, 佟力, 等.“基带MSK调制解调及其Verilog实现”[C]//通信理论与技术新发展一第十四届全国青年通信学术会议论文集.2009.

[3]王红军.一种新型的基于块自适应滤波的MSK信号解调技术[J].信号处理, 2011, Vol.27.No.6.

[4]陆智超.全数字MSK调制解调器的设计与实现[D].哈尔滨工程大学.

数字化解调 篇5

目前, 在软件无线电系统的接收端一般使用数字化正交解调方式[2], 见图1所示。

数字化正交解调算法的基本原理是:将模拟中频信号f (t) 首先经过A/D转换器, 转化为数字信号f (n) , 然后用数控振荡器 (N C O) 产生的两路本振信号分别与混频f (n) , 输出信号经FIR数字低通滤波器 (LPF) , 得到基本信号I (n) 和Q (n) , 最后解调输出。它的主要缺点是要提取同步载波, 算法比较复杂, 而且占用存储空间大。为克服这些缺点, 本文提出了一种能适用于各种数字调相方式, 且算法简单、快速的数字化解调算法。

1 基于Hilbert变换的数字调相信号快速解调算法

根据Hilbert变换的性质, 如果低频限带信号a (t) Hilbert变换为A (ω) , 带宽为∆ω, 则当载波频率时ωC>ω∆/2, 有:

因此, 我们可设计一个数字化解调器如图2所示。

1.1 算法解释

一般数字调相信号可表示为[3]:

S (t) =A (t) cos[ωct+θ (t) ], 则当ωc>>ω∆/2时, 经A/D采样后S (t) 离散化为:

我们以周期Ts=Tb (为bT基带信号的码元宽度) 提取离散信号, 然后进行Hilbert变换, 则离散化信号的Hilbert变换为:

由H i l b e r t变换的定义可知:S (t) 的Hilbert变换实际上是S (t) 与冲激响应为h (t) =1πt的系统的卷积, 所以可以通过Hilbert滤波器来实现Hilbert变换。这样当S (n) 通过滤波器时, 就会产生M/2的时延 (M为滤波器的阶数) 。因此必须加上延时器以保证信号S (n) 和它的H i l b e r t变换S (ˆn) 保持同步。

数字调相信号解调的关键是确定其相位变化, 一般可以认为数字调相信号在一A (n) =1, 则点积Dot (n) 和差积Cross (n) 分别为调相信号前后两个码元采样点的相位差的余弦和正弦值。通过点积Dot (n) 和差积Cross (n) 值的大小和极性可组合判决前后码元相差的大小, 可用于D P S K、Q D P S K、π/4 Q D P S K、8 D P S K、M P S K等信号的解调[4,5]。

1.2 位同步的方法

位同步是数字化解调中不可缺少的重要环节, 在本方案中可以通过对带限滤波后的采样信号进行运算得到位同步信号。

因为数字调相信号通过带限滤波器后在相邻码元的相位变化点会产生幅度的“陷落”, 因此对采样序列S (n) 进行Hilbert变换后可提取信号的瞬时幅度值[6]:

只要采样频率足够高 (如取载波频率的4倍以上) , 通过计算瞬时幅度值序列中极小点的位置, 即可确定码元切换点。见图3所示。

初始位同步建立后, 由于码元定时误差、多普勒频移及噪声等干扰, 位同步点可能有偏差, 因此需要继续寻找瞬时幅度极小点的位置, 如极小点与原位同步点相同, 则不需调整位同步, 否则就要利用新的极小点位置对位同步跟踪调整。在实际通信中, 可先发射一连串“1”码 (相对相位调制) 或一串“0101….”码 (绝对相位调制) , 便于快速建立位同步。

2 性能分析

对于上述解调方案的性能, 我们使用M A T L A B进行了软件仿真研究, 通过对相干解调系统和本方案解调系统误码率的对比分析, 由图4可以看出本方案解调系统误码率比传统的相干解调系统有明显改善。

基于Hilbert变换对信号进行解调, 省去了繁琐的同步载波提取过程, 避免了“倒π”现象, 不需要低通滤波和抽样判决, 在结构上远比正交解调简单, 大大简化了系统在中频段的处理, 抗干扰性能有明显提高, 系统通用性显著增强。

另外可根据同步过程中确定的码元跳变点及在A/D采样中单个码元内的采样次数来选择进行点积Dot (n) 和差积Cross (n) 的采样信号, 保证计算出的相位差是两个码元波形稳定区的相位差, 进一步降低了误码率。

同时由于整个解调算法中相位的计算图3提取位同步信息的瞬时幅度值序列不需要进行除法和反正切运算, 相对其他许多解调算法, 计算量大大减少。

3 结语

本文从软件无线电的特点出发, 针对各种类型的数字调相信号提出了一种实现简单, 通用性非常好, 具有很强的抗干扰能力, 且计算量小的数字化解调算法, 便于软件编程的实现, 适合于DSP芯片搭建的软件无线电系统。

参考文献

[1]杨小牛, 楼才义, 徐建良.软件无线电原理与应用[M].北京:电子工业出版社, 2001.

[2]Ulrich L Rohde, Jerry C Whitaker.Communications Receivers-DSP Software Radio and Design (Third Edition) [M].Mc Graw Hill, 2001.

[3]樊昌信, 张甫翊等.通信原理 (第5版) [M].北京:国防工业出版社, 2001.

[4]Eyre J.The Digital Signal Pro-cessor Derby[J].IEE Spectrum, 2001, 38 (6) :62-68.

[5]A Swami, B M Sadler.Hierar-chical digital modulation using cumulants[J].IEEE Trans, on Communications, 2000, 48 (3) :416-429.

数字化解调 篇6

关键词:PWM,555定时器,调制,解调

0 引言

随着现代通信中, 数字电路的大量应用, PWM基带信号作为信息处理的中间信号或辅助信号, 在实际数字通信终端中是很常见的。由于PWM信号的抗噪声性强, 经济, 节约空间等优点, 目前被很多领域广泛使用。PWM的一个优点就是从处理器到被控系统, 信号都是数字形式的, 不需要进行数模转换, 信号以数字的形式进行传递可以将噪声的影响降到最低, 因此实际的模拟信号在传输时大多是先转化为数字信号。

传统的模拟信号调制成PWM信号的过程比较复杂, 其大致设计方法将输入的模拟信号经过瞬时抽样, 再通过比较器输出[1]。

此电路相对比较繁琐, 因此我们尝试通过555定时器的运用寻找一种简便的方法用来实现PWM信号的调制。

1 555定时器调制PWM信号的原理

1.1 电路结构与原理

PWM基带信号调制的电路, 由一个555定时器、三个电阻和一个电容构成的, 主要功能是将输入的模拟信号转变成数字信号输出。只要将施密特触发器的反相输出端经RC积分电路再接回到它的输入端, 便构成了单稳态振荡器。图1为PWM信号调制的原理图, 在555定时器的控制端加上一个变化电压Vk进行一系列的调节后, 两个比较器的参考电压就发生了变化。当两个参考电压变大或减小后, 555电路的阈值电压和触发电压就跟着发生了增大或减小, 振荡电路的振荡频率就随之发生了相应的变化, 矩形波的宽度就随着控制端输入模拟信号Vk的电压变大而变大, 随着输入模拟电压变小而变小, 就可以实现PWM—脉冲宽度调制。图1中555定时器的5号脚输入模拟信号, 经过PWM信号产生电路, 在定时器的3号脚上便可产生PWM信号。

1.2 PWM电路性能分析

1.2.1 PWM的脉宽与调制信号Vk的关系

由RC电路的分析可知:在电容上的电压UC从充、放电开始到变化至某一数值UTH所经过的时间可以用公式 (1) 计算:

其中, UC (0) 是电容电压的起始值, UC (∞) 是电容电压充、放电的终了值。

PWM电路的输出脉宽随Vk瞬时值变化。由于555定时器控制端加入不同的电压值, 将会有不同的阈值电压和触发电压, 每次电容充放电所对应的Uc (0) 和UTH将会有所不同, 所以才会产生不同的电压幅值对应不同的脉冲宽度。

1.2.2 周期T0与调制信号频率的关系

对产生的PWM信号要实现理想的解调, PWM的输出脉冲应反映调制信号的特征。设调制信号的最高频率为fcmax, 根据抽样定理, 触发信号的频率f0=1/T0>2fcmax, 即触发信号周期

2 PWM电路特性参数分析

PWM电路对输入信号参数值有一定的范围要求, 当激励源的电压值在Y轴负半轴时, 电路不能正常进行调制与解调, 无法正确输出波形。当其振幅超过了直流电压源的幅值UCC, 将会出现了类似情况, 因此要选择合适的信号频率和幅值对电路的特性进行研究。在本次研究中Vk采用正弦波和三角波两种信号, 当输入模拟信号选择周期为20ms, 幅值选为2V, 能够实现较理想的结果。

3 PWM信号的解调原理

调制的信号方便在信道上传输, 在通信终端还需要将已调信号进行还原。PWM信号的解调电路是由巴特沃斯低通滤波器组成的, 将已调信号通过用由一个三极管加上一个非门和两个电阻、一个电容组成的反应中间态信号的电路。中间态信号波形将电容C1的充放电过程反应出来, 再将信号通过一个巴特沃斯低通滤波器电路即可将信号解调出来, 输出原来的模拟输入信号。解调电路的原理如图2所示。

4 PCB设计

4.1 PCB板图设计

PCB合理布局很关键, 如果布局不合理, 有时会出现电磁干扰, 虚焊等问题。图3为设计的PCB布线图, 电路的线宽均为50mil, 地线和电源线的线宽略加粗, 板图大小为3820mil×2420mil。

4.2 PCB实现

根据PCB线路文件, 利用线路板刻制机制作PCB, 并进行元器件组装, 得到的硬件设计实物图如图4所示。

5 电路仿真设计与硬件测试结果对比

5.1 PWM信号产生电路性能测试

下面从仿真设计的结果和硬件实现结果两方面比较PWM调制电路的性能。

图5和图6为PWM调制电路的仿真设计结果, 以上两图中通道A为模拟信号波形, 分别为输入的正弦波和三角波信号, 其周期均为20ms, 幅值均为2V, 通道B为数字信号脉冲, 即为PWM基带信号的波形, 从图中可以看出在输入模拟信号幅值越大的位置, 相应的PWM调制信号的脉宽就越大, 幅值越小的位置, 响应的PWM调制信号的脉宽就越小。这样PWM产生电路就实现从正弦模拟波形和三角模拟波形转变到数字信号波形的功能, PWM基带信号呈现周期性变化, 实现了脉宽调制的目的。

图7和图8为PWM调制电路硬件测试结果, 通过将幅度为2V, 周期为20ms的原模拟信号和产生的PWM基带信号波形的比较, 可以看出在原模拟信号幅度增大的地方, 基带信号的脉宽也随之增大;在原模拟信号幅度减小的地方, 基带信号的脉宽也随之变小。实现了输出波形的脉宽均随输入幅度的增大而增大, 减小而减小, 实现了PWM基带信号的调制。

5.2 PWM信号解调电路的性能测试

将PWM信号进行解调是本次研究中关键的一步, 因此需要比较输入信号与解调信号来检测解调电路的性能。下面先比较利用Multisim10软件仿真输入为三角波信号时解调结果。

图中波形1为输入模拟信号, 波形2为解调输出信号。通过比较软件仿真结果, 可以看出解调电路能够较好的将原正弦波信号解调出来。它们的幅度均为2V, 周期均为20ms, 只是发生了一些相移。相移的主要原因是抖动等。

图10和图11为实物PCB的示波器测试结果。其中波形1为输入的原模拟信号, 波形2为解调出的模拟信号。从中可以看出通过滤波器后出来的波形基本体现原模拟信号波形特征, 比较理想的完成了滤波功能, 由于电磁干扰等原因造成了解调信号的较小失真。

6 结论

使用555定时器实现PWM的方法比较简单, 在实际应用中具有可实现性, 只要设定合适的触发信号的周期, 可以获得对不同类型、不同频率信号的理想调制效果。在实验过程中, 由信号发生器产生的信号必须加以电平将其全部转化成正值, 才能进行调制, 最终得到解调的效果。通过比较仿真设计结果和硬件实现结果, 可以看出硬件实现较好地达到了仿真设计的效果。实现了利用PWM多谐振荡器完成从模拟信号向数字信号的转换的功能。

实际解调效果与仿真效果在幅值和频率上相同, 但是从波形中可以看出解调信号下部还是有些毛刺, 主要原因可能是电磁干扰等外部不可避免的影响, 但是总体效果还是不错的。

参考文献

[1]李莉, 胡之惠.PWM基带信号的产生与检测[J].上海师范大学学报, 2002, 9:31-36.

[2]阎石.数字电子技术基础 (第四版) [M].北京.高等教育出版社, 1998, 12:340-352.

[3]路而红.虚拟电子实验室-Multisim7&Ultiboard7[M].北京.人民邮电出版社, 2005, 5:1-21.

[4]陈国呈.PWM变频调速及软开关电力变换技术[M].北京.机械工业出版社, 2001:143-147.

数字化解调 篇7

关键词:解扩,解调,Costas环,载波同步,FPGA,环路滤波器

1 引 言

扩频通信系统是将基带信号的频谱扩展到很宽的频带上,然后进行传输,通过增大频带宽度来提高信噪比的一种系统。由于扩频系统具有抗干扰能力强、保密性高、截获概率低、多址复用和任意选址等优点,在移动通信等诸多领域越来越受到重视。

在扩频通信系统中,载波同步是扩频接收机正常解调的前提,是扩频通信中的一项关键性技术。常用的载波同步技术有平方环、Costas环和通用载波恢复环等。其中Costas环是跟踪低信噪比的抑制载波信号的最佳装置,也是现实中应用最多的一种。过去扩频信号载波同步常采用模拟Costas环,但是模拟环常存在I,Q通道间幅相不平衡、必须初始校准等问题。采用全数字实现的环路能够有效地避免这些问题 。

本文介绍一种全数字Costas环,他能够很好地完成由BPSK调制的扩频信号的载波同步和跟踪,从而完成对调制信息的解扩解调。该电路具有可靠性高、体积小、功耗低、调试方便等优点。通过编程、综合和仿真,最后在FPGA上硬件实现本模块。测试结果表明,本模块的各项指标均达到设计要求。

2 数字Costas环的基本原理

Costas环主要由数字下变频器、解扩单元、积分-清零器(I-D)、数字鉴相器、数字环路滤波器(LPF)以及数字控制振荡器(DDS)等模块组成。

设输入的BPSK调制信号为S(n)=APcos ωn,其中P为扩频的PN码,A为数据调制信号,ω为输入载波角频率,将输入信号分别加到I路和Q路乘法器,分别与环路DDS产生的cos(ωn+φ)和sin(ωn+φ)相乘,则I,Q两路乘法器输出分别为:

undefined

当输入信号中扩频码(PN码)和来自码同步环的扩频码精确同步的情况下,输入信号通过解扩单元就可以去除扩频码,解扩后I,Q两路输出分别为:

undefined

最后通过低通滤波器滤去二倍频,最终I,Q两路输出分别为:

undefined

可见,两路乘法器的输出均包含有调制信号,两者相乘可消除调制信号的影响,再经环路滤波器滤波后可得DDS控制电压:

undefined

由于DDS的控制电压已经去除了基带信号的成分,只受到相位误差φ的控制(k为常数),所以可以对DDS进行准确的调整,实现对载波的精确同步和跟踪。

3 数字Costas环各子模块设计

在作者所参与的项目中,系统时钟fclk=48.96 MHz,载波频率为(12.24 M±1.5 k)Hz,数据速率fd=16 kb/s, A/D采样位数为8位。

3.1 DDS模块

输入时钟:fclk=48.96 MHz; 输出正弦:fo=12.24 MHz; DDS的位数:N=32 b。

由DDS公式undefined可知:

undefined

从资源消耗和精度的综合考虑,采用了8位的查找表(IP核)来生成正弦余弦波,所以从累加器输出的相位信号必须截取高8位作为查找相位数据输入到查找表,输出正弦余弦信号也为8位。其具体实现结构如图2所示。

3.2 解扩单元

由于在直扩通信系统中,只有在PN码进入精确跟踪之后,码同步环路把精确PN码钟送入该环路的解扩单元完成扩频码片数据的解扩功能,载波同步环路才能开始工作。该模块采用异或门来完成解扩(解扩方法与接收信号的扩频方式有关)。

3.3 积分清零器

设置积分清零器的目的是为了去掉数字混频后的高次谐波和实现扩频增益。积分清零器实际上是由累积器和寄存器组成,积分清零数需要根据积分结果和扩频增益而定,积分结果和积分时间跟信息速率有紧密的关系,并要求清零时钟沿和采样时钟沿保持一致。

本设计中累加时钟采用系统时钟,清零时钟采用信息速率时钟。所以积分次数Dr=fclk/fd=3 060次,满足增益要求。为了防止数据溢出,在进行累加前必须对输入信号扩位,根据累加次数可以计算出输出需要扩展12位。

3.4 数字鉴相器

数字鉴相器主要完成同相(I)支路信号的检测。由于整个Costas环采用补码运算,过零检测就是判决积分清零器运算结果的符号位,并使得I支路的积分清零脉冲输出过零点形成检测脉冲。在运用中,我们采用判决I支路输出信号的最高位的正、负符号位,从而形成了过零检测脉冲。然后,该脉冲跟Q支路的输出数据进行异或门鉴相,鉴相后的误差信号送入环路滤波器。

3.5 数字环路滤波器

数字环路滤波器在环路中对输入噪声起抑制作用,并且对环路的校正速度还起到调节作用,因此对环路的性能起着关键作用,是需要进行参数调整的主要模块。在本接收机中采用一阶理想数字环路滤波器。该滤波器在其直流增益为无穷大而频偏为常数的情况下可以实现零稳态相位误差和频率误差。其结构如图3所示。

在本设计中,取阻尼系数0.707,环路带宽为BL=800 Hz,AD位数undefined,积分清零器输出与输入位数之差B=28-16=12,D为清零率等于Dr,系统时钟为48.96 MHz,DDS相位累加器字长N=32位,调整间隔取T等于一个符号周期为1/16K,可得环路增益K、滤波器固有频率ωn、滤波器参数C1和C2,详细推导见文献[1]。

undefined

在FPGA实现中,为了避免过多使用乘法器占用FPGA资源和简化硬件电路设计,C1和C2可用小数乘法来实现,这里用移位的方法来近似实现。即通过右移其相应指数的位数(取绝对值)来实现。

本设计中要求达到跟踪1.5 kHz的频偏,经过参数调整,实际选取C1=2-6,C2=2-10时,则分别右移6位和10位,频偏在快捕带外同步带内,环路经过调整后锁定;当选取C1=2-5,C2=2-9时,则分别右移5位和9位,频偏处于快捕带内,环路直接锁定。

4 数字Costas环在FPGA上的实现

本设计采用Xilinx公司的Spartan3系列XC3S4000 FPGA,用Verilog语言编程,开发环境为Xilinx ISE 7.1i,仿真工具采用ModelSim 6.1a,综合工具采用Synplify Pro 8.0。经过测试,该环路能够锁定的最大频偏能够达到2 kHz,实现载波同步。图4为在ModelSim上仿真结果,由环路滤波器输出曲线可知,环路锁定(环路滤波器输出稳定)时间大约为3 ms,满足接收机设计指标要求。在Synplify平台上综合后的顶层RTL图如图5所示。

5 结 语

在扩频通信系统中,数字Costas环结构简单、性能优秀,能够快速高效的实现载波同步从而实现调制信息的接扩解调。在整个系统中最关键的是环路滤波器的设计,对整个环路的性能起着重要作用。

本文中的Costas环已经在以FPGA为核心的硬件系统中运行,能够精确实现载波的同步和跟踪,且占用系统资源较少,动态范围较大,测试结果达到预期的设计指标要求。本电路已成功地应用于某直扩通信接收机中,效果良好。

参考文献

[1]张欣.扩频通信数字基带信号处理算法及其VLSI实现[M].北京:科学出版社,2004.

[2]张厥盛,郑继禹,万心平.锁相技术[M].西安:西安电子科技大学出版社,2003.

[3]曾一凡,李晖.扩频通信原理[M].北京:机械工业出版社,2005.

[4]王诚.FPGA/CPLD设计工具:Xilinx ISE使用详解[M].北京:人民邮电出版社,2004.

[5]Costas J P.Synchronous Communication[J].Proceedings ofthe IRE,1956,44(12):1 713-1 718.

[6]Michael S,Braasch A J,Van Dierendock.GPS Receiver Ar-chitectures and Measurements[J].Proceedings of the IEEE,1999,87(1):48-64.

上一篇:工程教育本质下一篇:混凝土表面防护