信号源发生器

2024-10-19

信号源发生器(共11篇)

信号源发生器 篇1

在数字通信中, 来自计算机、电传机等数据终端的信号, 或者是模拟信号经数字化处理后的PCM信号等称为基带信号[1]。本文通过对常用基带信号码的波形分析, 得出了这几种常用基带信号码形之间的转换原理, 从而设计出数字基带信号传输码型发生器。在具体的实现方式上使用VHDL[2] (超高速集成电路硬件描述语言) 来描述, 传统的数字电路设计要将设计具体化到最底层的基本器件, 自下而上进行设计, 而VHDL语言主要用于描述数字系统的结构、行为、功能和接口, 有作其他硬件描述语言无法比拟的优势。与之相应的开发环境是Altera公司MAX+plusⅡ, 对设计进行仿真和验证。

1 数字基带信号的常用码型

见图1。

2 基带信号传输码型发生器设计

2.1 常用基带信号的码形转换原理

根据图1各种基带信号码所对应的波形, 可列出各码形的转换原理如图2。

”表式高低两种电平

2.2 常用基带码发生器原理方框图

在基带传输系统中, 基带码的双极性码形需要数字部分加模拟部分电路来实现[3], 在本文的基带码发生器设计中没有包含模拟电路部分, 输出信号为数字信号。对双极性的信号如双极性归零码 (RZ) 、极性交替反转码 (AMI) 码码形输出引入正负标志位, 而对双极性非归零码 (NRZ) 和差分码码形输出时由低电平表示负极性。基于这种规定和各基带码形转换原理图, 可画出它的原理方框图如图3。

2.3 采用VHDL语言实现基带码发生器

形成模块化[4]的基带码发生器如图4所示。

图4其端口定义如下:

2.4 仿真及分析

基带码发生器的波形如图5所示, 为了便于清楚观测各测试点的仿真波形, 图6进行了局部放大, 截取了仿真的前80ns所对应的波形。clk是系统时钟信号, start 是使能信号, dat为16位二进制数据, 在仿真过程中输入的clk信号周期是20 ns, 二进制数据码流dat信号的最初设置为00000110011000000, 栅格尺寸是20 ns, 每隔一个栅格dat信号增加1。产生的AMI、SRZ、CFM、CMI、DRZ、FXM、NRZ等基带码完全符合图1的码形输出。

3 结束语

传统的码形设计器需要数字部分与模拟部分相结合[5], 基带码中正负极性需要用模拟的部分完成, 而本文的创新点就在于对数字基带信号的传输码形发生器的设计, 引入了极性标志位, 不需要模拟部分就能完成设计;全数字式的设计采用了VHDL语言来进行描述, 其原因在于VHDL语言有作其他硬件描述语言无法比拟的优势, 大大的节省了设计周期。

摘要:探讨了在数字基带传输系统中, 基于VHDL语言的基带信号传输码型发生器的设计。简单介绍了几种常用的基带信号传输码型, 分析这几种码型的转换原理, 并利用MAX+PLUSⅡ软件进行了仿真和验证, 其功能符合基带传输码的要求。

关键词:VHDL,基带信号传输码,MAX+PLUSⅡ

参考文献

[1]曹丽娜, 樊昌信.通信原理 (第6版) .北京:国防工业出版社, 2007

[2]云仙.VHDL在数字电路设计中的应用.浙江科技学院学报, 2004;9:167—168

[3]谢自美.电子线路设计、实验、测试 (第2版) .武汉:华中科技大学出版社, 2000

[4]王振红.VHDL数字电路设计与应用实践教程.北京:机械工业出版社, 2003

[5]黄智伟.PFGA系统设计与实践.北京:电子工业出版社, 2007

信号源发生器 篇2

系别:电子工程系 专业:应用电子技术 届:XX届 姓名:XXX 摘 要

本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。

关键词 ICL8038,波形,原理图,常用接法

一、概述

在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。

二、方案论证与比较

2.1·系统功能分析

本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案:

2.2·方案论证

方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。

方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率 相信都很难控制。

方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300KHz。

三、系统工作原理与分析

3.1、ICL8038的应用

ICL8038是精密波形产生与压控振荡器,其基本特性为:可同时产生和输出正弦波、三角波、锯齿波、方波与脉冲波等波形;改变外接电阻、电容值可改变,输出信号的频率范围可为0.001Hz~300KHz;正弦信号输出失真度为1%;三角波输出的线性度小于0.1%;占空比变化范围为2%~98%;外接电压可以调制或控制输出信号的频率和占空比(不对称度);频率的温度稳定度(典型值)为120*10-6(ICL8038ACJD)~250*10-6(ICL8038CCPD);对于电源,单电源(V+):+10~+30V,双电源(+V)(V-):±5V~±15V。图1-2是管脚排列图,图1-2是功能框图。8038采用DIP-14PIN封装,管脚功能如表1-1所示。

3.2、ICL8038内部框图介绍

函数发生器ICL8038的电路结构如图虚线框内所示(图1-1),共有五个组成部分。两个电流源的电流分别为IS1和IS2,且IS1=I,IS2=2I;两个电压比较器Ⅰ和Ⅱ的阈值电压分别为 和,它们的输入电压等于电容两端的电压uC,输出电压分别控制RS触发器的S端和 端;RS触发器的状态输出端Q和 用来控制开关S,实现对电容C的充、放电;充点电流Is1、Is2的大小由外接电阻决定。当Is1=Is2时,输出三角波,否则为矩尺波。两个缓冲放大器用于隔离波形发生电路和负载,使三角波和矩形波输出端的输出电阻足够低,以增强带负载能力;三角波变正弦波电路用于获得正弦波电压。

3.3、内部框图工作原理

★当给函数发生器ICL8038合闸通电时,电容C的电压为0V,根据电压比较器的电压传输特性,电压比较器Ⅰ和Ⅱ的输出电压均为低电平;因而RS触发器的,输出Q=0,;

★使开关S断开,电流源IS1对电容充电,充电电流为

IS1=I

因充电电流是恒流,所以,电容上电压uC随时间的增长而线性上升。

★当上升为VCC/3时,电压比较器Ⅱ输出为高电平,此时RS触发器的,S=0时,Q和 保持原状态不变。

★一直到上升到2VCC/3时,使电压比较器Ⅰ的输出电压跃变为高电平,此时RS触发器的 时,Q=1时,导致开关S闭合,电容C开始放电,放电电流为IS2-IS1=I因放电电流是恒流,所以,电容上电压uC随时间的增长而线性下降。

起初,uC的下降虽然使RS触发的S端从高电平跃变为低电平,但,其输出不变。

★一直到uC下降到VCC/3时,使电压比较器Ⅱ的输出电压跃变为低电平,此时,Q=0,使得开关S断开,电容C又开始充电,重复上述过程,周而复始,电路产生了自激振荡。

由于充电电流与放电电流数值相等,因而电容上电压为三角波,Q和 为方波,经缓冲放大器输出。三角波电压通过三角波变正弦波电路输出正弦波电压。

结论:改变电容充放电电流,可以输出占空比可调的矩形波和锯齿波。但是,当输出不是方波时,输出也得不到正弦波了。

3.4、方案电路工作原理(见图1-7)

当外接电容C可由两个恒流源充电和放电,电压比较器Ⅰ、Ⅱ的阀值分别为总电源电压(指+Vcc、-VEE)的2/3和1/3。恒流源I2和I1的大小可通过外接电阻调节,但必须I2>I1。当触发器的输出为低电平时,恒流源I2断开,恒流源I1给C充电,它的两端电压UC随时间线性上升,当达到电源电压的确2/3时,电压比较器I的输出电压发生跳变,使触发器输出由低电平变为高电平,恒流源I2接通,由于I2>I1(设 I2=2I1),I2将加到C上进行反充电,相当于C由一个净电流I放电,C两端的电压UC又转为直线下降。当它下降到电源电压的1/3时,电压比较器Ⅱ输出电压便发生跳变,使触发器输出为方波,经反相缓冲器由引脚9输出方波信号。C上的电压UC,上升与下降时间相等(呈三角形),经电压跟随器从引脚3输出三角波信号。将三角波变为正弦波是经过一个非线性网络(正弦波变换器)而得以实现,在这个非线性网络中,当三角波的两端变为平滑的正弦波,从2脚输出。

其中K1为输出频段选择波段开关,K2为输出信号选择开关,电位器W1为输出频率细调电位器,电位器W2调节方波占空比,电位器W3、W4调节正弦波的非线性失真。

图1-1

3.5、两个电压比较器的电压传输特性如图1-4所示。

图1-4

3.6、常用接法

如图(1-2)所示为ICL8038的引脚图,其中引脚8为频率调节(简称为调频)电压输入端,电路的振荡频率与调频电压成正比。引脚7输出调频偏置电压,数值是引脚7与电源+VCC之差,它可作为引脚8的输入电压。如图(1-5)所示为ICL8038最常见的两种基本接法,矩形波输出端为集电极开路形式,需外接电阻RL至+VCC。在图(a)所示电路中,RA和RB可分别独立调整。在图(b)所示电路中,通过改变电位器RW滑动的位置来调整RA和RB的数值。

图1-5

当RA=RB时,各输出端的波形如下图(a)所示,矩形波的占空比为50%,因而为方波。当RA≠RB时,矩形波不再是方波,引脚2输出也就不再是正弦波了,图(b)所示为矩形波占空比是15%时各输出端的波形图。根据ICL8038内部电路和外接电阻可以推导出占空比的表达式为

故RA<2RB。

为了进一步减小正弦波的失真度,可采用如图(1-6)所示电路,电阻20K与电位器RW2用来确定8脚的直流电压V8,通常取V8≥2/3Vcc。V8越高,Ia、Ib越小,输出频率越低,反之亦然。RW2可调节的频率范围为20HZ20~KHZ。V8还可以由7脚提供固定电位,此时输出频率f0仅有Ra、Rb及10脚电容决定,Vcc采用双对电源供电时,输出波形的直流电平为零,采用单对电源供电时,输出波形的直流电平为Vcc/2。两个100kΩ的电位器和两个10kΩ电阻所组成的电路,调整它们可使正弦波失真度减小到0.5%。在RA和RB不变的情况下,调整RW2可使电路振荡频率最大值与最小值之比达到100:1。在引脚8与引脚6之间直接加输入电压调节振荡频率,最高频率与最低频率之差可达1000:1。

3.7、实际线路分析

可在输出增加一块LF35双运放,作为波形放大与阻抗变换,根据所选择的电路元器件值,本电路的输出频率范围约10HZ~20KHZ;幅度调节范围:正弦波为0~12V,三角波为0~20V,方波为0~24V。若要得到更高的频率,还可改变三档电容的值。

图1-6

表 1-1 ISL8038管脚功能

管 脚 符 号 功 能

1,12 SINADJ1,SINADJ2 正弦波波形调整端。通常SINADJ1开路或接直流电压,SINADJ2接电阻REXT到V-,用以改善正弦波波形和减小失真。SINOUT 正弦波输出TRIOUT 三角波输出

4,5 DFADJ1,DFADJ2 输出信号重复频率和占空比(或波形不对称度)调节端。通常DFADJ1端接电阻RA到V+,DFADJ2端接RB到V+,改变阻值可调节频率和占空比。V+ 正电源 FMBIAS 调频工作的直流偏置电压FMIN 调频电压输入端SQOUT 方波输出 C 外接电容到V-端,用以调节输出信号的频率与占空比V-负电源端或地

13,14 NC 空脚

四、制作印刷电路板

首先,按图制作印刷电路板,注意不能有断线和短接,然后,对照原理图和印刷电路板的元件而进行元件的焊接。可根据自己的习惯并遵循合理的原则,将面板上的元器件安排好,尽量使连接线长度减少,变压器远离输出端。再通电源进行调试,调整分立元件振荡电路放大元件的工作点,使之处于放大状态,并满足振幅起振条件。仔细检查反馈条件,使之满足正反馈条件,从而满足相位起振条件。

制作完成后,应对整机进行调试。先测量电源支流电压,确保无误后,插上集成快,装好连接线。可以用示波器观察波形发出的相应变化,幅度的大小和频率可以通过示波器读出。

五、系统测试及误差分析

5.1、测试仪器

双踪示波器 YB4325(20MHz)、万用表。

5.2、测试数据

基本波形的频率测量结果

频率/KHz

正弦波 预置 0.01 0.02 2 20 50 100

实测 0.0095 0.0196 2.0003 20.0038 50.00096 100.193 方波 预置 0.01 0.02 2 20 50

实测 0.095 0.0197 1.0002 2.0004 20.0038 三角波 预置 0.01 0.02 1 2 20 100

实测 0.0095 0.0196 1.0002 2.0004 20.0038 100.0191 5.3、误差分析及改善措施

正弦波失真。调节R100K电位器RW4,可以将正弦波的失真减小到1%,若要求获得接近0.5%失真度的正弦波时,在6脚和11脚之间接两个100K电位器就可以了。

输出方波不对称,改变RW3阻值来调节频率与占空比,可获得占空比为50%的方波,电位器RW3与外接电容C一起决定了输出波形的频率,调节RW3可使波形对称。

没有振荡。是10脚与11脚短接了,断开就可以了

产生波形失真,有可能是电容管脚太长引起信号干扰,把管脚剪短就可以解决此问题。也有可能是因为2030功率太大发热导致波形失真,加装上散热片就可以了。

5.4、调试结果分析

输出正弦波不失真频率。由于后级运放上升速率的限制,高频正弦波(f>70KHz)产生失真。输出可实现0.2V步进,峰-峰值扩展至0~26V。

图1-2

图 1−7

六、结论

通过本篇论文的设计,使我们对ICL8038的工作原理有了本质的理解,掌握了ICL8038的引脚功能、工作波形等内部构造及其工作原理。利用ICL8038制作出来的函数发生器具有线路简单,调试方便,功能完备。可输出正弦波、方波、三角波,输出波形稳定清晰,信号质量好,精度高。系统输出频率范围较宽且经济实用。

七、参考文献

【1】谢自美《电子线路设计.实验.测试(第三版)》武汉:华中科技大学出版社。2000年7月

【2】杨帮文《新型集成器件家用电路》北京:电子工业出版社,2002.8

【3】第二届全国大学生电子设计竞赛组委会。全国大学生电子设计竞赛获奖作品选编。北京:北京理工大学出版社,1997.【4】李炎清《毕业论文写作与范例》厦门:厦门大学出版社。2006.10

信号源发生器 篇3

【关键词】 信号发生器,DDS,AD9850,AT89S51

信号发生器在当今通信、电子测量仪表、雷达等电子系统中发挥着非常重要的作用。随着科学技术的发展和测量技术的进步,普通的信号发生器已无法满足目前电子技术领域的需要,而DDS技术是一种新兴的直接数字频率合成技术,具有频率分辨率高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化易于集成、体积小、重量轻等优点。利用DDS技术设计信号发生器,输出信号精度高,频带范围宽,并且控制简单方便,是信号发生器研究的一个重要方向。

1 DDS的基本原理

直接数字频率合成器是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器通常由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)组成。DDS的组成结构如图1所示。其中,K为频率控制字(也叫相位增量),P为相位控制字,W为波形控制字,fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址来对波形ROM进行寻址,波形ROM输出的D位幅度码S(n)经D/A转换变成阶梯波S(t)后,再经过低通滤波器平滑,就可以得到合成的信号波形。由于合成的信号波形取决于波形ROM中存放的幅度码,因此,用DDS可以合成任意波形。

2 系统设计方案

采用DDS作为信号发生核心器件的函数信号发生器实现方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。

该函数信号发生器的结构中,单片机AT89S51是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9850,输出波形。键盘输入的数字信息经AT89S51控制的LCD显示。

3 硬件设计

3.1 AD9850芯片介绍

AD9850采用先进的CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为-40~80℃,采用28脚SSOP表面封装形式。

3.2 单片机与AD9850的接口

單片机与AD9850的接口既可采用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式。如下图所示,在硬件上,AD9850的数据线D0~D7与单片机P1口相连,FQ-UD和W-CLK分别和P2.6、P2.7相连,RESET端与单片机的复位端直接相连。

图  单片机与AD9850接口电路

3.3  滤波电路

低通滤波器可以分为巴特沃什滤波、切比雪夫滤波、贝赛尔滤波和椭圆滤波等。在该系统中,为了使输出信号频率最高100KHz时能够最低程度地降低AD9850外部系统时钟的干扰,采用具有较窄过渡带特性的椭圆滤波器,并采用7阶椭圆低通滤波。

3.4 功率放大电路

AD9850输出信号的电流约为10mA,输出阻抗50Ω,电压≦0.5V,达不到要求,为此必须经过功率放大电路。对于功率放大电路部分,我们选择集成宽带高性能运算放大器AD811。AD811为电流反馈性宽带运放,其单位增益带宽很宽,±15V供电情况下,-3dB带宽达100MHZ,适合本系统的宽带放大要求,且输出电流可达100mA。

3.5  键盘输入接口及LCD接口电路

本系统中的数字输入设置电路采用2*8矩阵键盘。由于LCD具有显示内容多,电路结构简单,占用单片机资源少等优点,本系统采用RT1602C型LCD液晶显示屏来显示信号的类型、频率大小和正弦波的峰-峰值。同样,考虑到AT89S51单片机的IO引脚资源有限,本系统的键盘输入及LCD输出均通过74HC245连接到AT98S51单片机的P0端口,从而实现端口扩展和复用。

4软件设计

系统软件设计采用汇编语言来编写程序。软件模块包括主程序(AT89S51初始化、AD9850初始化、LCD初始化)、显示子程序、键盘输入子程序、信号发生与控制子程序4部分组成。信号发生器系统主程序流程。

5结束语

通过严格的实验测试证明,本系统采用DDS完全可以实现输出信号类型的选择设置、信号频率数字预置等功能,是一种输出信号频率覆盖宽、信号源分辨率高、波形失真小的函数信号发生器,具有一定的实用开发价值。

参考文献

[1] 张静,李廷军,刘长茂,郭海燕,基于DDS的高分辨率信号发生器的实现[J],现代电子技术,2004,14.

[2] 张毅刚,彭喜元,孟升卫, MCS-51单片机实用子程序设计, 哈尔滨工业大学出版社,2003

[3] 高泽溪,高成,直接数字频率合成器(DDS)及其性能分析,北京航空航天大学学报,1998(10).

作者简介:

张天鹏,男,(1981-),河南开封人,讲师,从事高校机电专业教学研究

魏蔚,女,(1981-),河南开封人,讲师,从事高校计算机专业教学研究

信号源发生器 篇4

(1) 产生多种形式雷达发射信号。雷达信号波形设计是现代雷达系统设计中最为重要的一环, 通常都要求发射信号具有多种形式[2];本设计基于AD9910能够产生不同时宽带宽的线性调频信、非线性调频信号、单频信号、相位编码信号、组合信号等雷达发射信号, 具备快速的波形和码型捷变能力。

(2) 产生雷达回波模拟信号。雷达各分机的研制往往是并行的, 如果天线没有做好, 得不到雷达回波数据, 信号处理调试就无法进行。本设计提供了一种可编程雷达回波信号模拟方案, 能够灵活地调节回波信号的延时、多普勒频移和中频调制频率等[3], 为信号处理调试提供较为全面的测试环境, 从而加快雷达研制进度, 提高工作效率。

(3) 分机控制和测试验收。利用FPGA丰富的I/O资源, 本设计能够实现对雷达其他分机的控制, 修改工作参数, 变换工作模式。本模块同时设计有多种雷达系统测试模式, 通过切换不同的模式, 能够单独对雷达各分机进行功能测试和指标验收, 为雷达系统调试和研制提供便利。

(4) 故障监测与定位。本设计能够实时获取雷达其他各分机的故障状态, 并按照通信协议上报, 实现对分机故障的实时监测;一旦显示分机故障, 还可以切换到相应测试模式对故障做进一步的排查和确认。

(5) 发射功率管理与监视。本设计能够实现雷达发射功率的在线修改, 进而针对不同类型的目标合理分配发射功率;并集成了一路AD, 对雷达发射机实际输出功率进行采集上报, 实现发射功率的在线监测。

1 设计实现

1.1 硬件构成

雷达信号波形发生器硬件构成如图1所示, 主要包括复位、时钟管理、RS422通信、分机控制、DDS信号发生、发射功率管理与监测、电源管理等模块;雷达信号波形发生器通过RS422异步串口与上位机进行通信, 缓存上位机下发的控制指令并解析, 进而实现雷达工作参数修改、工作模式切换、DDS控制与中频信号发生、分机控制等功能;并通过串口将分机故障状态和发射功率上报给上位机, 实现对分机故障状态和发射功率的实时监测。

设计中FPGA和AD9910的时钟输入分别为20MHz晶振及其同步时钟DDS_CLK输入、外接同步时钟CLK1和CLK2输入可选, AM26LV31和AM26LV32实现异步串行通信协议到RS422通信协议变换, AD9910、声表滤波器、TT型网络和运算放大器共同实现多模式雷达中频信号发生、谐波和杂散分量的滤波与抑制、中频信号的功率放大等功能, 4片74LV4245实现雷达信号波形发生器与雷达功放、频综、接收机等分机之间的控制信号交互。

1.2 软件设计

软件设计是基于FPGA的设计, 包括时钟变换、异步串行通信、中频信号发生、分机控制信号产生和故障及功率监测等五模块, 其中多模式中频信号发生是设计的重点与难点;整个软件设计流程图如图2所示。

设备上电后首先进行系统初始化, 包括串口初始化和雷达其他各分机控制端口初始化等。然后等待上位机下发控制指令, 一旦正确地接收到控制指令, 串口模块会自动进行指令解析, 并判断各指令字是否改变;如有改变就锁存新的指令字, 并产生相应的标志位, 随后程序会根据工作模式和工作波形选择等指令字产生相应的分机控制信号, 控制DDS产生相应雷达中频发射或回波模拟信号, 并将分机故障状态和当前的发射功率整合上报;如果没有指令字改变, 程序将直接跳转到状态上报模块, 上报分机的故障和发射功率。

1.3 通用性设计

在设计之初本模块就特别注重软硬件的通用性设计, 详细如下:

(1) 通信控制功能设计。本设计采用RS42异步串口实现多种控制功能和工作模式切换;RS422异步串口接收上位机下发的工作模式、信号波形形式和参数等指令信息, 解析后提取有效信息分发给各子功能模块, 进而实现雷达工作参数修改、工作模式切换、DDS控制与中频信号发生、分机控制等功能。RS422异步串口作为一种简单、方便、实用的通信接口, 其通用性和灵活性很好地满足设计的要求。

(2) 时钟设计。该信号发生器与整个雷达系统是相参的, 以保证与整个雷达系统同步工作[4];本模块需要两个相参时钟, 采用晶振和外接同步时钟融合设计的方式, 具有以下优势:一是方便调试, 采用板上晶振+FPGA倍频方式即可完成软硬件调试;二是故障排查和定位, 雷达通常采用同一个高稳定时钟源给所有分机提供基准时钟, 如果时钟源故障, 所有分机异常, 而此时又没有两个外同步信号源, 就无法进一步分离和定位雷达的故障源。

(3) DDS的滤波与放大。DDS输出信号需要外接滤波器滤除带外杂散[5], 设计时采用通用封装滤波器, 当输出信号中心频率和带宽改变时, 直接更换即可;DDS输出信号功率有限, 通过在DDS输出放大器的前段和后端增加Π型网络, 可以方便地调节DDS的输出信号功率, 以适用不同的频率扩展单元, 提高系统的通用性。

(4) 频带扩展。DDS最高输出频率有限, 实际应用中一般都需要外接频率扩展单元变频至需要的工作频段;系统设计时可选用通用高性能频率综合器进行频带扩展以适用于多型雷达。

(5) 其他设计。硬件上采用FPGA+DDS结构, 可以灵活地产生各种复杂的雷达信号, 降低硬件设计的复杂度;利用FPGA丰富的I/O资源, 给雷达各分机预留足够接口, 并兼容各种常用接口电平规范等;软件上采用硬件描述语言, 可以通过重配置逻辑电路产生不同时宽、不同带宽、不同类型的雷达信号[6];同时使用串口主控模块功能, 实现不同的功能只需要发送相应控制码即可。

2 实验测试

图3为13位巴克码相位编码调制信号时域波形, 图4是实测的511位m序列码相位编码调制信号频谱图;图5是140MHz中心频率, 10MHz带宽, 30us时宽的线性调频信号时频曲线, 图6为140MHz中心频率, 10MHz带宽, 30us时宽的线性调频信号的频谱图。

由测试结果可以看出, 本设计产生的相位编码信号波形、频谱良好, 并可通过修改参数实现码元宽度和码型的任意可变;产生的线性调频信号的时频曲线具有较好的线性度, 信号带宽和时宽均满足设计要求;限于篇幅关系, 本文并未给出其他波形设计的信号谱图;但经过实测, 本设计能够根据需要产生各种雷达信号波形和分机控制信号, 能够很好地满足工程应用的需要。

3 结语

本模块采用FPGA+DDS设计方案, 可产生多种雷达信号波形, 是集雷达信号发生、分机控制与调试、故障监测、发射功率管理与监测于一体, 且通过通用的频带扩展单元能够供多频段雷达使用, 具有较强的通用性和实用性, 已经成功应用于多型雷达, 并申请了实用新型专利。模块控制灵活、集成度高, 为雷达信号波形设计、雷达系统研制和调试提供便利, 具有较高的工程应用价值。

摘要:本文给出了一种通用多功能雷达信号波形发生器的设计, 它能够完成线性调频、相位编码及其组合信号等多模式雷达中频发射信号和雷达中频回波模拟信号发生, 雷达其他各分机控制和故障的实时监测, 发射功率管理与监测等功能;模块设计有RS422串口, 可以灵活地实现工作模式切换和参数修改等功能;该模块控制灵活、集成度高, 已成功应用于多型雷达。

关键词:通用多功能,信号发生,分机控制,故障和功率监测

参考文献

[1]王建军, 姒强, 吕幼新.多功能雷达信号产生器的设计与实现[J].中国雷达, 2009 (4) :56-59.

[2]姒强.一种基于中频采样的雷达数字波形产生方法研究[D].成都:电子科技大学, 2004.

[3]杨鸿鹄, 许蕴山, 夏海宝, 等.基于FPGA+DSP的雷达回波发生器设计[J].电子技术应用, 2009, (12) :57-60.

[4]袁辉.基于FPGA的数字信号发生器的设计与实现[J].电子技术应用, 2011, (9) :67-69.

[5]汤励, 曲秀杰.基于DDS的高可靠性雷达信号发生器设计与实现[J].现代雷达, 2012, (11) :73-75.

低频函数信号发生器设计 篇5

课程名称:

电子系统综合设计

指导老师:

周箭

成绩:

实验名称:低频函数信号发生器(预习报告)实验类型:

同组学生姓名:

一、课题名称

低频函数信号发生器设计

二、性能指标

(1)同时输出三种波形:方波,三角波,正弦波;(2)频率范围:10Hz~10KHz;

(3)频率稳定性:(4)频率控制方式:

① 改变RC时间常数;

; ② 改变控制电压V1实现压控频率,常用于自控方式,即F=f(V1),(V1=1~10V); ③ 分为10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。

(5)波形精度:方波上升下降沿均小于2μs,三角波线性度δ/Vom<1%,正弦波失真度

(6)输出方式:

a)做电压源输出时

输出电压幅度连续可调,最大输出电压不小于20V 负载RL=100Ω~1KΩ时,输出电压相对变化率ΔVO/VO<1% b)做电流源输出时

输出电流幅度连续可调,最大输出电流不小于200mA 负载RL=0Ω~90Ω时,输出电流相对变化率ΔIO/IO<1% c)做功率源输出时

最大输出功率大于1W(RL=50Ω,VO>7V有效值)具有输出过载保护功能

三、方案设计

根据实验任务的要求,对信号产生部分,一般可采用多种实现方案:如模拟电路实现方案、数字电路实现方案、模数结合的实现方案等。

数字电路的实现方案

一般可事先在存储器里存储好函数信号波形,再用D/A转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A转换器输入数字量的速率来实现的。

数字电路的实现方案在信号频率较低时,具有较好的波形质量。随着信号频率的提高,需要提高数字量输入的速率,或减少波形点数。波形点数的减少,将直接影响函数信号波形的质量,而数字量输入速率的提高也是有限的。因此,该方案比较适合低频信号,而较难产生高频(如>1MHz)信号。

模数结合的实现方案

一般是用模拟电路产生函数信号波形,而用数字方式改变信号的频率和幅度。如采用D/A转换器与压控电路改变信号的频率,用数控放大器或数控衰减器改变信号的幅度等,是一种常见的电路方式。

模拟电路的实现方案

是指全部采用模拟电路的方式,以实现信号产生电路的所有功能。由于教学安排及课程进度的限制,本实验的信号产生电路,推荐采用全模拟电路的实现方案。

模拟电路的实现方案有几种:

①用正弦波发生器产生正弦波信号,然后用过零比较器产生方波,再经过积分电路产生三角波。但要通过积分器电路产生同步的三角波信号,存在较大的难度。原因是积分电路的积分时间常数通常是不变的,而随着方波信号频率的改变,积分电路输出的三角波幅度将同时改变。若要保持三角波输出幅度不变,则必须同时改变积分时间常数的大小,要实现这种同时改变电路参数的要求,实际上是非常困难的。

② 由三角波、方波发生器产生三角波和方波信号,然后通过函数转换电路,将三角波信号转换成正弦波信号,该电路方式也是本实验信号产生部分的推荐方案。这种电路在一定的频率范围内,具有良好的三角波和方波信号。而正弦波信号的波形质量,与函数转换电路的形式有关,这将在后面的单元电路分析中详细介绍。

四、单元电路分析

1、三角波,方波发生器

由于比较器+RC电路的输出会导致VC线性度变差,故采用另一种比较器+积分器的方式

积分器

同相滞回比较器

由积分器A1与滞回比较器A2等组成的三角波、方波发生器电路如图所示。在一般使用情况下,V+1和V-2都接地。只有在方波的占空比不为50%,或三角波的正负幅度不对称时,可通过改变V+1和V-2的大小和方向加以调整。

合上电源瞬间,假定比较器输出为低电平,vO2=VOL=-VZ。积分器作正方向积分,vO1线性上升,vp随着上升,当vp>0时,即vo1≥R2/R3*,比较器翻转为高电平,vO2=VOH=+VZ。积分器又开始作负方向积分,vO1线性下降,vp随着下降,当vp<0时,即vo1≥R2/R3*,比较器翻转为低电平,vO2=VOH=-VZ。

取C三种值:0.1uF 对应10-100Hz; 0.01uF 对应100-1kHz; 0.001uF 对应1k-10kHz。调节R23的比值可调节幅度,再调节R,可调节频率大小。

2、正弦波转换电路 常用方法有使用傅里叶展开的滤波法,使用幂级数展开的运算法,和转变传输比例的折线法。但前二者由于其固有的缺陷:使用频率小,难以用电子电路实现的原因,在本实验中舍弃,而采取最普遍的折线法。

折线法是一种使用最为普遍、实现也较简单的正弦函数转换方法。折线法的转换原理是,根据输入三角波的电压幅度,不断改变函数转换电路的传输比率,也就是用多段折线组成的电压传输特性,实现三角函数到正弦函数的逼近,输出近似的正弦电压波形。由于电子器件(如半导体二极管等)特性的理想性,使各段折线的交界处产生了钝化效果。因此,用折线法实现的正弦函数转换电路,实际效果往往要优于理论分析结果。

用折线法实现正弦函数的转换,可采用无源和有源转换电路形式。无源正弦函数转换电路,是指仅使用二极管和电阻等组成的转换电路。根据输入三角波电压的幅度,不断增加(或减少)二极管通路以改变转换网络的衰减比,输出近似的正弦电压波形。

有源正弦函数转换电路除二极管、电阻网络外,还包括放大环节。也是根据输入三角波电压的幅度,不断增加(或减少)网络通路以改变转换电路的放大倍数,输出近似的正弦电压波形。

若设正弦波在过零点处的斜率与三角波斜率相同,即

则有,由此,可推断出各断点上应校正到的电平值:

方案一,使用二极管控制形成比例放大器,使得运放在不同时间段有不同的比例系数

方案二,用二极管网络,实现逐段校正,运放A组成跟随器,作为函数转换器与输出负载之间的隔离(或称为缓冲级)。

当输入三角波在T/2 内设置六个断点以进行七段校正后,可得到正弦波的非线性失真度大致在1.8 % 以内,若将断点数增加到12 个时,正弦波的非线性失真度可在0.8 %以内。3 输出级电路 根据不同负载的要求,输出级电路可能有三种不同的方式。

(1)电压源输出方式

电压源输出方式下,负载电阻RL通常较大,即负载对输出电流往往不提出什么要求,仅要求有一定的输出电压。同时,当负载变动时,还要求输出电压的变化要小,即要求输出级电路的输出电阻RO足够小。为此,必须引入电压负反馈

图(a)电路的最大输出电压受到运放供电电压值的限制,如运放的VCC 和VEE 分别为±15V时,则VOPP =±(12 ~ 14)V。若要求有更大的输出电压幅度,必须采用电压扩展电路,如图12(b)所示。

(2)电流源输出方式

在电流源输出方式下,负载希望得到一定的信号电流,而往往并不提出对输出信号电压的要求。同时,当负载变动时,还要求输出电流基本恒定,即要求有足够大的输出电阻Ro。为此,需引入电流负反馈。

图(a)电路的最大输出电压受到运放供电电压值的限制,如运放的VCC 和VEE 分别为±15V时,则VOPP =±(12 ~ 14)V。若要求有更大的输出电压幅度,必须采用电压扩展电路,如图(b)所示。

a)为一次扩流电路,T1 和T2 组成互补对称输出。运放的输出电流IA中的大部分将

图(作为T1、T2 的基极电流,所以IO = βIA。图(b)为二次扩流电路,用于要求负载电流IO 较大的场合。复合管T1、T2和T3、T4 组成准互补对称输出电路。

(3)功率输出方式

在功率输出方式下,负载要求得到一定的信号功率。由于晶体管放大电路电源电压较低,为得到一定的信号功率,通常需配接阻值较小的负载。电路通常接成电压负反馈形式。如用运放作为前置放大级,还必须进行扩流。当RL较大时,为满足所要求的输出功率,有时还必须进行输出电压扩展。

静态时,运放输出为零,– 20V电源通过下列回路:运放输出端→R1 →DZ →b1 →e1 → –20V 向T1 提供一定的偏置电流 R6 ,C3 和R7 ,C4 组成去耦滤波电路。需要注意的是几个晶体管的耐压限流以及最大功率值。

其中调节W可改变晶体管的静态工作电流,从而克服交越失真。

4)输出级的限流保护 由于功率放大器的输出电阻很小,因而容易因过载而烧坏功率管。因此需要进行限流保护。

图(a)是一种简单的二极管限流保护电路,当发生过流(I o过大)时,R3、R4 上的压降增大到足以使D3、D4 导通,从而使流向T1、T2 基极的电流信号I1、I2 分流,以限制I o 的增大。

图(b)是另一种限流保护电路,T3、T4 是限流管。当I o 过大,R5、R6 上的压降超过0.6V时,T3、T4 导通防止了T1、T2 基极信号电流的进一步增大。I o 的最大值为 0.6/R5,R3、R4 用来保护限流管T3、T4。

五、仿真分析

以1KHz为例即C=1nF

三角波方波发生电路

方波下降沿时间4.3μs

三角波峰值

改变RP2

改变RP1

调节占空比

调节偏移量

正弦波转换器

三角波转换正弦波,三角波放大后输出峰峰值10V

静态工作点

改变静态工作点(调节RP45)发生失真

功率放大电路

功率放大波形,输入为之前的正弦波,变阻器衰减后最大不失真输出电压

总电路图,模块形式

衰减前的输入信号与输出信号

由仿真结果来看,基本满足设计要求,准备按仿真电路设计实际电路。

六、仿真心得

在仿真的过程中出现了一下几个问题,但后来都分别排查掉了,希望实际连接时不再犯。

1、运放未接电源导致没有波形

2、变阻器接入阻止过小或过大导致没有信号或失真(尤其需要注意)

信号源发生器 篇6

关键词:DDS 可编程放大 低频信号发生器

中图分类号:TN3     文献标识码:A     文章编号:1007-3973(2012)003-032-02

理论分析及方案论证

1.1 频率合成

Spartan-3E系列器件在DLL模块基础上增加了数字频率合成器的功能,为系统提供了更加丰富的频率合成时钟信号,最后采用直接数字合成法实现频率合成。

1.2 幅度控制

幅度控制器由TLC7528进行控制,利用其内部的R-2R电阻网络,将TLC7528设计成可编程增益放大器。通过单片机控制其幅度,实现峰--峰值100mv步进调整。

1.3 滤波器

为了消除波形表生成时所带来的毛刺及生成正弦波时数模转换所产生的高频分量,我最终决定采用四阶巴特沃思低通滤波器。巴特沃思滤波嚣的幅度函数是单调下降的,由n阶低通巴特沃思滤波器的(2n-1)导数在m=0处为零,所以巴特沃思滤波器也称为最大平坦幅度滤波器。相对来说,滤波器在通带内的平坦程度重要性要高于衰减陡度。

2 系统硬件设计

2.1 总体设计思路

充分考虑各种因素制定出了整体的设计方案:以单片机MSP430为核心,完成四方面的功能:处理键盘数据;控制液晶显示;控制DAC08进行幅值转换;传送频率控制字K值给FPGA处理,再通过TLC7528搭建的可编程增益放大器实现输出幅值的控制和信号峰峰值的步进调整。

2.2 系统所用材料

单片机采用MSP430F149,FPGA采用Xilinx公司的Spartan3E 500E 芯片,DAC采用的DAC08和TLC7528。运放采用NE5532和LM6172,其中LM6172具有很的压摆率,可以实现在发挥部分中对FPGA输出的3.3v的脉冲波进行较小失真的放大,方便后级可编程放大器对其的衰减控制。

2.3 模块电路

(1)波形转换与可编程放大(DAC08及TLC7528模块) 其中运放采用NE5532,因为其增益带宽积很高,完全可以实现发挥部分所要求的频率。

DAC08用于将FPGA输出的波形数据转换成实际电压值,TLC7528构成可编程增益放大器,实现对峰峰值为12.8v的正弦和方波信号衰减,完成所要求的100vm步进可调。

(2)滤波器模块运放采用NE5532,因为其增益带宽积很高,完全可以实现发挥部分所要求的频率。

该滤波的设计是采用TI公司的软件设计,设计精度很高,效果很好,本系统中选用四阶巴特沃斯滤波器。

(3)同相放大及基准源模块

该模块用于放大脉冲波,然后用于后级的可编程放大器,实现100mv步进的要求。

3 系统软件设计

(1)主要实现显示,按键操作,数据处理,MSP430与FPGA之间的通信。

(2)FPGA部分verilog编程。

生成的RTL框图如图3。

1)top层:(略)。

2)各模块层电路。

4 系统误差分析

由于DDS的工作原理是基于数字取样及数摸恢复的处理,所输出的模拟信号中必然会有杂散噪声,其来源主要有以下三方面的因素。

(1)相位误差

相位舍位引起的误差:由于累加器的位数N小于RAM的寻址位数w产生截断误差。

(2)幅值量化误差由于DA位数有限,所以进行幅值量化时会产生幅值量化误差。增加数据字长和DA位数将可以减少这种误差。

(3)由于数/模转换器的非理想特性引起的误差。

4种随机噪声(如:电源噪声)也会对的输出波形造成一定误差。

5 系统测试结果

6 总结

这是一项很不错的设计,通过简单巧妙的方法有效地实现了所需功能。当然也有很大的提升空间。对于仪器仪表类课题以前接触的比较多,所以上手起来比较容易,当然做好也不简单。最后要说的是,注重细节至关重要,细节决定成败

参考文献:

DDS信号发生器 篇7

所设计的系统可以输出且存储较宽频带且频率稳定度做够高的正弦信号 (也包括方波和三角波) , 并且可以用键盘来实现三者之间转换。

1.1 正弦信号的产生

直接数字频率合成 (DDS) 方案。其主要组成为:相位累加器、波形存储器、D/A转换器和低通。直接数字频率合成DDS是正弦信号产生的方式之一, 在具体实施该方法的过程中, 伴随着时钟脉冲的输入相应相位累加器将会输出一定增量值, 与此同时在波形储存器中存有一份函数查询表, 而这份函数查询表将针对各种相位码所呈现出的不同幅度进行编码并输出。同时相位进步量取决于频率控制字k, 通过相位累加器所输出的数值对波形储存器展开寻址, 促使波形储存器对相应幅度进行编码。最后, 波形储存器所输出的编码需要通过滤波器滤波、数模转换从而顺利获取所需频率信号。

DDS所形成的频率信号具有高精度、高纯度等特点。

1.2 存储器模块

DDS所采用的存储器是RAM, 随机存储数据, 具有实现简单、方便的优势。若是将RAM直接挂在系统总线上, 将会出现总线冲突的问题, 所以, DDS通常采用双口RAM, 可以避免系统总线的隔离。它拥有左右两种完全一致的I/O口, 包含了两套地址总线、两套控制总线、两套数据总线, 同时还具备一套竞争仲裁电路, 能够凭借左右两边任一组I/O完成全异步的存储器读写操作。这样能够有效降低CPLD与单片机之间的通讯, 降低了单片机的资源耗损, 保证了系统的安全性和可靠性。

输出模块若要有效实现幅度控制与数模转换功能, 则需要相应的后级处理模块, 其中滤除主要通过采集样点时所产生的高次谐波分量及DAC所形成的高频分量, 维持所输出波形的稳定性有效保证其平滑且不失真。

(1) 针对系统的后级滤波部分进行分析。系统的后级滤波部分主要采用的是二阶巴特沃兹滤波器。即使相应的幅度函数, 呈现出单调下降发展趋势, 但其仍然能够实现幅度滤波的平坦度最大显示。信号发生器形成并输出的波形与预设计的输出波形频率存在巨大差距, 其原因主要归结为D/A转换过程中所形成的高频分量噪声所致。虽然是单调下降的, 相对而言, 巴特沃兹滤波器的衰减陡度远不及其能够实现最大平坦度滤波重要而且更为符合实际情况。针对巴特沃兹滤波器来讲, 椭圆滤波器具有幅值影响在阻带及通带内都属于等波纹, 并且针对已设定的波纹及阶数要求, 椭圆滤波器能够有效获取比其他任何一种滤波器更窄的过渡带宽等突出优势。

(2) 针对系统幅度控制部分进行分析。幅度控制部分及数模转换部分均选用双D/A技术, 并通过单片机进行控制, 首级D/A所输出的波形主要作为二级D/A波形的基准电压源, 如此有效对信号发生器所输出的相应电压幅度进行控制。该方法与其他方法相对比所呈现的优势在于, 该方法电路相对更简单、明了, 且仅凭计算机软件即能实现对其高强度控制的目的。

2 系统设计

各模块具体实现与分析

(1) 单片机控制模块。作为系统的控制中心, 单片机具有以下的功能:首先是单片机可以对LCD显示输入信息进行控制, 从而有效完成功能选择及按键识别的控制;其次, 单片机能够与CPLD进行有机结合, 有效实现直接频率的合成。不仅如此, 单片机还能够为CPLD提供控制端口, 选择及控制CPLD内部, 从而进一步实现读取双口RAM内存储数据的目的;另一方面, 单片机还可以与两片D/A连接, 有效控制D/A。

遵循DDFS理论, 考虑要达到的要求, 选取晶振频率为4.000MHz, 理论上所输出的频率步进是0.25Hz。

单片机在等待按键的过程中即默认状态时不输出, 在不同的按键指令下, 系统输出不同的波形。

(2) 针对CPLD内部设计的分析。CPLD内部设计的原理在于, 在其内部构建适于DDFS的相位累加器。同时, 在双口RAM与单片机通信过程中, P0口不仅能够提供低八位地址, 同时还能满足所需锁存器同时在CPLD内部实现的要求, 有效减少了硬件安装。

单片机的P2.4, P2.5, P2.6, P2.7为CPLD提供控制信号, 同时还为频率选择字提供地址。另外, 因为P2.3、P2.2、P2.4分别是RAM、LCD、DAC0832的片选信号, 同时还设定由P0口根据P2.1、P2.2口的不同组合, 根据不同时段提供相应数据, 并按一定规律有效组合成累加器所需要的二十四位输入数据。为了保证时序的稳定性、规律性、准确性, 还需要在单片机WR端中为相应低电频率输入数据。

(3) 键盘模块的设置。键盘上各键的功能:键盘上的数字键, 顾名思义就是输入数值。按下‘Reset’键是表示复位, 单片机状态回到开始状态;按下‘enter’键表示确定;按下Am+键表示幅度加;按下Am-键表示幅度减;按一下波形键输出为正弦波, 再按一下输出为方波, 再按一下输出为三角波, 再按一下输出为正弦波, 如此循环。

按下‘FRE’键表示准备改变频率, 即按下‘FRE’键后, 在按数字键所要变成的频率值, 即可将频率改变成相应的频率值。

(4) 后级滤波模块的设计。在后级滤波模块找那个, 鉴于椭圆滤波器的种种优点, 我们采用5阶椭圆滤波器。

3 相关理论的分析和计算

所输出波形的频率以及相应分辨率。预设参考晶振 (频率源) 的频率为, 选用计数容量作为相位累加器 (既相位累加器位数) , 频率控制字为位, 则:

由DDS系统所输出的信号频率为:

频率分辨率为:

在此过程中, 主要选择4M晶振, 二十四位频率控制字, 二十四位相位累加器。

从理论层面来看, 通过抽样定理可以明确, 在保证不失真前提下最大限度步进可以取, 最小时为1, 那么:

相应所输出的频率为:

频率分辨率为:

参考文献

[1]黄根春, 陈小桥, 张望先编著.电子设计教程[S].电子工业出版社.

[2]黄智伟主编.全国大学生电子设计竞赛训练教程[S].电子工业出版社.

低频信号发生器的设计 篇8

信号发生器一般指能自动产生正弦波、方波、三角波电压波形的电路或者仪器[1,2,3]。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。这里,采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于10 Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。

1 波形转换原理

1.1 方波和三角波的产生

方波-三角波-正弦波信号发生器电路由运算放大器电路及分立元件构成,其结构如图1所示。它利用比较器产生方波输出;方波通过积分产生三角波输出[4]。

1.2 利用差分放大电路实现三角波-正弦波的变换

波形变换原理是利用差分放大器传输特性曲线的非线性,波形变换过程如图2所示[5]。由图2可以看出,传输特性曲线越对称,线性区域越窄越好;三角波的幅度Uim应正好使晶体接近饱和区域或者截至区域。

2 电路设计及参数调整

根据设计功能,电路的设计过程分为正弦波、方波、三角波3部分。

2.1 方波与三角波的产生及转换电路

图3中U1构成同相输入迟滞比较器电路,用于产生输出方波。运算放大器U2与电阻Rp2及电容构成积分电路,用于将U1电路输出的方波作为输入,产生输出三角波。

方波部分与三角波部分的参数确定如下:

根据性能指标可知,由Τ=4R4(R4+Rp2)CR3+Rp1=1f可见,fC成正比,若要得到1~10 Hz输出,C=10 μF;若要得到10~100 Hz输出,C=1 μF。此时,R4+Rp2=7.5 ~75 kΩ,若取R4=5.1 kΩ,则Rp2=2.4 kΩ或者Rp2=69.9 kΩ,因为Rp2=100 kΩ时,V=R2R3+Rp1V

根据输出的三角形幅值5 V和输出的方波幅值14 V,若有:R2/(R3+Rp1)14=5⇒R2/(R3+Rp1)=5/14时,R2=10 kΩ,则有Rp1⧋47 kΩ,R3=20 kΩ。

根据方波的上升时间为2 ms,可以选择74141型号的运放。由此可得调整电阻为:

R1=R2//(R3+Rp1)_Δ10kΩR5=(R4+Rp2)_Δ10kΩ

2.2 正弦波产生电路

正弦波产生电路如图4所示。由于选取差分放大电路对三角波-正弦波进行变换,选择KSP2222A型的管,其静态曲线图像如图5所示[6]。

根据KSP2222A的静态特性曲线,选取静态工作区的中心静态电流和电压分别为:

Ιc=5mA,Ιβ=0.25mAVce=0.12V,β=20

根据直流通路有:

Rc1×Ιc+Vce=12Rc1=Rc2=20kΩVb2=Rb2×ΙbRb2=6.8kΩVo2/2=0.7+Ιe×Rp4/2Rp4_Δ100Ω

因为静态工作点已经确定,所以静态电流变成已知。根据KVL方程可计算出镜像电流源中各个电阻值的大小:

Re4=Re3=2kΩ,R=8kΩ

2.3 系统集成

把各分电路集中在一块电路板上,共用电源和接地端后,整个信号发生器的结构变得紧凑美观,集成电路图如图6所示。

3 模拟实验结果及分析

3.1 模拟结果

利用Multisim软件画出电路图[7],在相应点接上示波器,模拟电路结果。

改变Rp2的值,由2.4 kΩ变为5.6 kΩ的输出结果对比如下。

3.2 结果分析

(1) 频率范围

为便于测量,将电路图上的方波信号接入示波器,并合上C1=10 μF的开关,断开C2=1 μF的开关,然后调节Rp2,并测出此时方波信号频率的变化范围;断开C1的开关,合上C2的开关,按照同样的方法调节Rp2,并记录方波信号频率的变化范围,结果如表1所示。电路的三种输出波形对比如图7所示。

(2) 输出电压

方波信号接入示波器,调节Rp1,得方波峰峰Vpp=14 V;撤除方波信号并接入三角波信号,调节Rp1,测得三角波峰峰值Upp=5 V;将正弦波信号接入示波器,调节Rp3和Rp4,测得正弦波峰峰值Upp=2.8 V。

4 结 语

函数信号发生器的性能

指标主要取决于元器件的选择以及电路元器件参数的选择。在电路中接入示波器将对电路的负载匹配产生一定的影响,进而影响波形输出。该设计中采用Multisim软件对设计出的电路进行模拟,对结果进行了仿真,电路可产生低于10 Hz的三种信号波形,输出电压可以达到合理范围,该电路已经应用于实验操作中。

参考文献

[1]康华光.电子技术基础模拟部分[M].5版.北京:高等教育出版社,2005.

[2]罗佰绥,熊小民,熊锴.简易函数信号发生器与计数器设计[J].国外电子元器件,2008(7):49-52.

[3]彭善琼,丁长松.一种新型信号发生器的设计与实现[J].电子工程师,2007,33(2):38-40.

[4]张宪,王春娴.电子元器件的选用与检测问答[M].北京:化学工业出版社,2005.

[5]刘全盛,童子权.函数发生器的脉冲信号发生电路设计[J].哈尔滨理工大学学报,2004,9(3):31-34.

[6]熊飞丽,王光明,刘国福.多功能智能函数信号发生器的设计[J].测控技术,2003,22(4):9-12.

[7]尹勇,李林凌.Multisim电路仿真与入门[M].北京:科学出版社,2005.

[8]黄超,杨瑞明,杨广辉.任意信号发生器软件设计[J].现代电子技术,2008,31(10):177-179.

拖拉机发生故障六“信号” 篇9

1、作用反常。

拖拉机技术状态和性能出现异常情况, 如发动机启动困难, 拖拉机制动失效, 主离合器打滑, 发电机不发电, 以及拖拉机牵引力过小等。

2、温度反常。如轴承过热、离合器过热和发电机过热等。

3、消耗反常。如柴油、机油和冷却水的消耗过量。

4、声音反常。

拖拉机工作时出现不正常的敲击声、放炮声以及异常的杂声。

5、气味反常。

排气管排出燃烧不完全发出的油味或磨擦片烧焦的气味等。

6、外观反常。

如排气管冒白烟、黑烟或蓝烟, 各处有漏油、漏水、漏电和漏气现象, 灯光不亮, 零件或部件的错乱, 各仪表读数超过正常范围等。

多模多制式调制信号发生技术 篇10

关键词:多模,多制式,现场可编程门阵列

1 引言

本设计针对市场的实际需求以及多模通信信号和多制式数字调制信号的特点, 设计了以FPGA为主, “DDR2+FPGA+DAC+DDS+宽带调制器”为硬件架构的多模多制式通信矢量信号发生装置, 利用FPGA可编程的特点, 在尽量简化硬件设计的情况下, 可以发射TD-SCDMA、、WCDMA、TD-LTE、FDD-LTE等多模模信号, 发射BPSK、QPSK、OQPSK、、DQPSK、8PSK、16QAM、32QAM、、64QAM、2FSK、4FSK、GMSK等多调调制格式数字调制信号, 并可以将用户户数据灌入DDR2, 根据用户实际需要要发出, 实现复杂信号模拟的功能。

2 设计目的

(1) 多模通信信号:GSM/TD-SCDMA/WCDMA/TD-LTE/FDD-LTE等通信制式非信令信号。

(2) 多制式调制信号:

●调制格式:BPSK、QPSK、OQPSK、DQPSK、8PSK、16QAM、32QA M、64QA M、2FSK、4FSK、GMSK;

●码元速率:2ksps~40Msps;

●滤波类型RC、RRC、GAUSS;

●α因子/bt:0.2~1。

(3) 用户数据发射。

(4) 将上述信号调制到相应的载波上。

3 硬件设计实现

随着FPGA器件的快速发展, 其高速大容量可编程的特点使其得到广泛应用, 对多模多制式的设计需求需要选用FPGA进行设计, 它主要完成数字信号处理工作。另外, 由于TD-LTE、FDD-LTE的高数据量高速的要求, 需要DDR2作为多模信号或用户数据的存储介质。在基带信号发生后, 还需要将其DAC转换后用宽带正交调制器调制到各自载波上发射。另外, 多模多制式信号的发生需要不同码元速率的设计, 需要选用DDS分频出高分辨率可变时钟。所以该设计选用“DDR2+FPGA+DAC+DDS+宽带调制器”硬件架构, 其框图如图1。

4 FPGA设计实现

该设计的重点是对多模多制式调制信号进行数字信号处理, 由FPGA完成, 包括多模信号或用户数据的插值、FIR成型滤波、CIC插值等处理;以及多制式信号的伪随机信号产生、映射、插值、FIR成型滤波、频偏系数生成、DDS及CIC插值等处理。FPGA处理流程见图2。

PN码产生单元主要在时钟的作用下可以不断地产生原始的二进制伪随机序列, 然后将产生的伪随机序列进行编码及映射, 以QPSK为例, 每两个二进制编码构成一个码元, 即为{ (00) , (01) , (10) , (11) }四种状态, 再映射为星座图上的相位点, 如IQ两路幅度分别用9位补码表示, 则为hex{ (1ff1ff) , (1ff0ff) , (0ff1ff) , (0ff0ff) }, 用IQ的幅值来表示信号的矢量位置。QPSK星座图见图4。

由于映射产生的是矩形脉冲信号, 信号幅度的突变也会使其占用的频带很宽, 不利于传输, 造成符号间干扰, 导致接收机在检测一个符号时发生错误的概率增大, 需要设计脉冲成形滤波器, 而各种滤波器可以通过有限脉冲响应数字FIR滤波器来实现, 因为FIR滤波器有两大优点:

(1) 单位脉冲响应h (n) 有限长, 长度为N的h (n) 系统函数, H (z) 在z平面有 (N-1) 个零点, 原点z=0是 (N-1) 阶重极点而无其它极点, 因而是稳定的;

(2) 在满足一定条件下 (单位脉冲响应奇对称或偶对称) , 保证严格的线性相位。

它的基本算法是一种乘法-累加运算, 即不断地输入样本x (n) , 经过z-1延时后, 再进行乘法-累加, 最后输出滤波结果y (n) 。其实现流程和输出表达式如下所示。

式中, ai为滤波因子;x (n) 表示滤波器在n时刻的输入;y (n) 为n时刻的输出。

由图6可知FIR滤波器主要由一些延时器、乘法器和加法器等组成, 其频响的调整由滤波因子来控制。滤波因子可以通过对滤波器频响采样后经过反傅立叶变换来得到, 滤波因子的物理意义是滤波器频响在时域上的反映, 在该设计中可以利用MATLAB进行仿真, 得到各种滤波类型 (RC、R R C、G A U S S) 、不同滚降系数 (0.20-1.00) 的滤波因子。滤波因子可以根据用户需求和通信制式选用

在该设计中, 基带信号的码元速率变化范围很大, 如果将成形后的数据直接输出给D/A转换器, 输出信号必然带有D/A工作时钟信号, 而我们设计的基带带宽大, 要滤除时钟但不影响信号, 模拟低通滤波器将很难设计, 所以我们增添了CIC插补滤波模块来提高DAC的采样时钟, CIC滤波器可以用来实现抽取器和内插器, 它具有结构简单、规整以及需要的存储量小的优点。由于它不需要乘法器, 加之滤波器的所有系数均为1, 而且利用积分环节减少了中间过程的存储量, 因此常常用在高速采样和插值比很大的场合。CIC插值滤波器实现流程如下。

(a) 64QAM解调测试 (b) TD-LTE PUCCH信号测试

通过调节CIC插补滤波的插补比例, 使D/A转换器的时钟在小范围内变化, 并且最小时钟信号也高于基带信号, 这样D/A后模拟低通滤波器将很容易设计。例如, 本设计要求码元速率达到2ksps~40Msps, 此时基带信号1k Hz~20MHz, 这就要求DAC后低通滤波截止频率大于20MHz, DAC采样时钟理论上应该大于20MHz, 考虑工程实现应大于40MHz, 下表给出了该设计针对码元速率变化所做的不同数据处理, 通过改变CIC的插值率、每码元点数、可以实现设计目的。

FPGA输出数据经过DAC转换, 低通滤波后由宽带正交调制器调制到相应的载波上发射。

在频移键控2FSK、4FSK、MSK等调制格式时, 需要设计不同的频偏来表示信息。在数字电路设计中, 可以利用乘法器实现不同的频偏。将FIR得到的数据乘以一个频偏因子k来控制频移键控的频偏,

式 (1) 中map为随机序列的映射值, ai为FIR的各滤波因子, ∆f为频移键控的频偏, f为查正余弦表的时钟, n为查表之前丢弃的数据位数 (主要包括FIR和乘法器丢失) , 2即为正余弦表满量。由式 (1) 可得

N为正余弦表的有效位数。在设定频偏∆f的情况下可以求出对应的k值。

5 多模通信信号及用户数据设置

多模通信信号主要处理流程和多制式信号类似, 关键是将数据源切换到前面仿真好后存入DDR2的数据, 并按照各通信标准分别设置码元速率、滤波类型和滤波因子等参数。具体设置参照表1。

6 测试结果

经过实际验证, 该方案很好地实现设计目的, 硬件结构简单, 成本低, 灵活性好, 指标高, 已经应用在通信矢量信号发生器的设计中, 相关产品已经推向市场, 得到用户认可。

参考文献

[1]王秉钧, 冯玉珉, 田宝玉.通信原理[M].北京:清华大学出版社, 2006.11

[2]丁玉华, 高西全.数字信号处理[M].西安:西安电子科技大学出版社, 2000.12

[3]ADL5375 Data Sheet[Z].ANALOG DEVICES, 2007

虚拟信号发生器系统误差分析 篇11

1、电路噪声产生系统误差及措施

在虚拟任意波信号发生器硬件电路中,DDS AD9850和FPGA的主频是50MHz,属于高速数字电路范畴,其脉冲信号的频谱具有非常宽范围的高次谐波成分。脉冲信号使用的工作频率越高,受寄生电容、电感的影响越大。假设高频电流i流过具有电感L的印刷电路,则电感L产生的压降为:

该印刷电路就像一个天线,将辐射噪声发送出去。如果噪声耦合到输出信号中,就产生系统误差。减少电路噪声的方法是将地线做成面,以减少地线的阻抗,降低放电电流产生的电压降。另外,还应在印制电路板上应该采取如下措施:

(1) 减少环面积

在所形成的环中由于磁通交联,会在该环中感应电流,环的面积越大磁通交联的量就越多,感应的电流也就越大。因此为了使电源、地线所形成的环面积最小,应使电源和地线尽量接近布线。在电源、地线之间安装高频旁路电容,使环面积减少。为了减小信号线和地线之间形成的环面积,将信号接近地线进行布线。

(2) 使布线最短

要考虑信号线长度的分配,设计时将低有效信号线加长,而将高有效信号线做成最短。各器件相互间的布线做成最短,并将连接在输入输出线上的器件就装在端子的附近。

(3) 使用多层线路板,这在模拟电路及高速数字电路中是常见的。

(4) 严格遵守AD9850等高频器件的Data Sheet中规定的布线规则。

2、量化产生系统误差

量化又称幅值量化,把采样信号x (nΔt) 经过舍入的方法变为只有有限个有效数字的数,这一过程称为量化。

若取信号x (t) 可能出现的最大值A,令其分为D个间隔,则每个间隔长度为R=A/D, R称为量化增量或量化步长。当采样信号x (nΔt) 落在某一小间隔里,经过舍入方法而变成有限值时,则产生量化误差。

量化误差呈等概率均匀分布,其概率密度函数P (R) =1/R。如果量化增量为R,则最大量化误差应是±0.5R其均方差为:

将ρ (R) =l/R,μr=1代入,则有

则σr=o.29R极为标准差。

假设输入信号为正弦信号,则信号的能量为:

相应的量化信噪比为:

显然,量化增量R越大,则量化误差越大,量化增量大小一般决定于计算机位数。本课题中,虚拟信号发生器的输出幅度分辨率为1 6位,1 6位二进制为216=65536,即R为所测信号最大电压幅值的1/65536,相比之下,8位二进制为28=256,即R为所测信号最大电压幅值的1/2 5 6,所以,计算机位数越大,量化误差越小,虚拟信号发生器的信号精度越高。

3、D/A转换产生系统误差

3.1. 最小输出电压V

在讨论D/A误差之前,先讨论最小输出电压VLSB和满量程输出电压VFSR两个概念。VLSB可简写成LSB,是指输入数字量中只有最低位 (D0) 的数码为1时,对应的输出模拟电压值。换言之,就是最低位状态变化时所引起的输出模拟电压的变化量。在本课题中,我们用AD9713B作为D/A器件,它是一个12位DAC,

VRFE=-1.2V,所以最小输出电压为:

3.2. D/A转换误差

D/A转换误差是指在稳态工作时,实际的模拟输出值和理想输出值之间的偏差。D/A转换是一个综合性静态指标,通常指线性误差、失调误差、增益误差、噪声和温漂等项内容的总误差。D/A转换的误差来源主要有如下几种:

l) 失调误差 (零点误差) 。该项误差是指输入数字量为全“0”码时,DAC的输出模拟量不为零值。失调误差的主要原因是输出继承运放的零点漂移。对于外接放大器,可以在一定温度下通过调零措施来消除初始的零点误差。

2) 增益误差。DAC的实际转换特性的斜率与理想转换特性斜率之差称为增益误差。参考电压偏离标准值和运算放大器闭环增益偏离设计值是造成增益误差的主要原因。对于放大器,通过调节增益可以消除初始增益误差。对于参考电压VRFE而言,若存在固定误差ΔVRFE,消除偏差是容易做到的,若VRFE不稳定,或随温度漂移,则会造成DAC工作不稳定,输出误差将难以消除。

3) 非线性误差。理想DAC的输入数字量和输出数字量之间的转换关系应是线性的,也就是说,对应所有可能的输入数字量,各个离散的模拟输出值均应位于一条直线上,然而实际的转换特性很少是线性的。实际转换特性曲线和理想直线之间的偏差值称为转换器的非线性误差。非线性误差主要是模拟开关的不理想和电阻元件的不精确所造成的。模拟开关接通时,存在导通压降,各个开关的导通压降值也不一样,它们在输出端造成的误差是非线性的。集成芯片中生成电阻的数值也有偏差,从而引起DAC的误差。

4、存储器容量限制产生系统误差分析

如果要虚拟任意波信号发生器输出周期信号,那么PC机会通过USB接口控制FPGA中的高速地址发生器周而复始地重复读出存储器中的一部分或全部的波形采样数据,送给12位D/A转换AD9713B,经功率放大得到连续的输出周期信号。因此我们只需将一个周期的采样值存放入RAM中就可以输出连续波形。

理论和实验都表明,一个周期信号的采样点越多,波形长度越长,那么它显示所需信号的特性越多,还原生成的信号与理想信号的误差越小。问题在于任何实际信号发生系统的存储器容量都是有限的。这样一来,当一个信号成分较复杂 (有较丰富的高频分量) 时,系统必然会丢失一些高频信号分量的特性,造成实际发生信号和理想信号之间的误差。以下做定量分析:

为了能够将用户定义的任意波信号从采样点序列中还原出来,采样频率必须满足采样定理:

其中,Fs=1/Ts为采样频率,Fmax为波形所含的最高频率分量。本课题中,我们使用的RAM为IS61C3216,其存储器容量R=65536。存储每个采样所须的存储器位置b=8,所以,系统的信号存储容量C=R/2b=65536 (2*8) =4096,即一个周期信号的采样点不能超过4096个,否则存储器将溢出。

当存储周期信号时,有

其中,F1=1/Tw为周期信号的基频,K为最高有效谐波频率与基频之比。表1给出了一些常见波形的K值及存储器的需要量。由表1可见,对于大多数周期信号,所需的存储器容量不超过130个采样。在实际中,出于电路实现及经济上的考虑,常用高于奈奎斯特频率速率进行采样,不难求出,当Fs=2KFmax频率采样时,所需存储的采样数应该是表5.1所列值的K倍。

实验表明,一个周期内由50个采样点构成的还原信号就相当光滑,但如果一个周期的采样点少于20个采样,信号波形就会出现明显失真。在本课题的设计中,一个周期的采样点在50个以上,从实际产生的波形来看,效果非常不错。减少存储器容量限制产生系统误差的方法是增加采样点和增大存储器容量,这是以增加硬件开支为代价的。在系统设计中,我们应在误差和存储器容量这一对矛盾体中选一个最佳点,既可以使误差在允许的范围内,又可以有效降低生产成本。

5、虚拟信号发生器实际输出波形与分析

验证虚拟信号发生器的实际波形使用的是用美国安捷伦公司的Agient54622D型号的示波器。该示波器有两个通道,100MHz带宽,200MS盯S采样率,16逻辑通道数,完全能够对本课题设计的信号发生器进行分析。

5.1. 信噪比

信号的一次谐波相对基波衰减7 8.1dB,信噪比之70dB, 超过设计目标。这说明DDS通道输出正弦波的频谱比较干净,噪音电平在70dB以下,这归功于用先进的CMOS工艺生产的AD9850不仅功耗小,而且性能一流。

5.2. 输出频率分辨率

AD9850高速的直接数字合成器 (DDS) 核心根据设定的32位频率控制字和5位相移控制字,最高可接125MHz时钟,在理论上可产生0.029Hz到62.5 MHz的正弦波信号和标准的方波信号。但实验表明,AD9850在输出超过1 5MHz频率正弦波信号和方波信号时会产生波形失真,最低频率可达到0.01Hzㄢ

5.3. 频率准确度

用Agient54622D示波器测得频率准确度。方法是信号发生器设定的频率值为f0,实际测得的频率值为fx,根据频率准确度公式计算数据可以看出虚拟信号发生器的频率准确度为:a≤0.1%。

5.4 频率稳定度

为了测DDS通道的频率稳定度,我们将DDS通道输出频率设定在1MHz,输出电压峰值2V,让虚拟任意波信号发生器连续工作一周,期间每天用Agient54622D示波器测量随机地测试三个值,测得实际频率均在999200Hzㄢ

这说明利用直接频率合成技术 (DDS) 产生一系列所需的频率,其稳定度可达到基准频率 (晶振) 相同的量级,频率稳定度这一指标已非常令人满意。频率稳定度和频率准确度有关系但并不相同。如果一个信号源具有良好的频率稳定度,但频率准确度不高,这就是说其频率可保持稳定,但有频率误差。这种信号源的频率准确度可用更为准确的仪表如计数器对它进行监视,通过适当调整来补偿。另一方面,频率稳定度不佳的信号源,不论用多少时间调整都不能达到良好的频率准确度。这种信号源只有瞬间停留在正确的频率,很快就会漂移。

5.5. 输出电平的频响

输出电平的频响是指在有效频率范围内 (特别是信号源中频段) 调节频率时,输出电平的变化,也就是输出电平的平坦度。由于Agient54622D示波器的分辨率有限,所以只能做估计评价。我们将DDS通道输出电压峰值设为8V, 输出频率在100KHz-10MHz频段每隔500KHz变化,没有观察到输出电压峰值的变化,输出电平频响指标达到实际使用要求。

5.6. 幅度范围

虚拟信号发生器使用的D/A转换器AD9713B,它的输出电压为:0-5V;由于在D/A后端电路加了电位器和放大电路,故信号发生器的输出幅度范围为0-15V,且幅度可调。经测试符合指标。

5.7. 负载能力

信号发生器的负载能力是指在信号发生器输出端连接负载后观察信号波形幅度是否有较大变化。我们在虚拟信号发生器输出端接上的50Ω电阻,观察输出波形幅度的变化,无明显变化。达到设计目标。

摘要:虚拟信号发生器输出波形质量, 一方面取决于硬件器件的影响, 另一方面由软件中波形算法所决定。在这里我们只分析硬件电路带来的误差。

上一篇:无线烟雾报警系统下一篇:中风急性期