单脉冲发生器

2024-10-03

单脉冲发生器(共7篇)

单脉冲发生器 篇1

FPGA开发中常用到单脉冲发生器。一些文章介绍过产生单脉冲的电路, 产生的单脉冲脉宽和相位都不能与时钟同步, 只能用在要求不严格的场合。笔者目前从事的课题中需要一个与时钟周期等宽, 相位与时钟周期相同的键控单脉冲发生器。键控单脉冲发生器需要按键产生单脉冲, 但大多数带有FPGA芯片的开发板提供的是高频时钟脉冲, 按键时会存在抖动问题。为此笔者专门设计了按键消抖电路消除抖动, 为产生单脉冲提供稳定的按键信号。

1 按键消抖电路原理[1]

为了使按键消抖电路模块简洁, 移植性好, 在此用计数器的方式实现按键消抖的功能。

计数器模值n根据抖动信号的脉冲宽度和采样脉冲信号CLK的周期大小决定。计数器模值n=延时/脉冲信号采样周期。一般按键抖动时间为5~10 ms, 甚至更长。笔者用的开发板提供的系统时钟为24 MHz, 按公式计算, 当计数器模值取20位, 计数到219即h80000时, 大约延时22 ms。计数期间认为是按键的抖动信号, 不做采样;计数器停止计数, 认为采样信号为稳定按键信号。这样就可以把按键时间小于22 ms的抖动信号滤掉。

引入一个采样脉冲信号CLK, 并输入按键信号KEY。KEY输入低电平, 计数器开始做加法计数, 当计数到h80000即计数器中最高位Q19为1, 计数器停止计数, 输出Q19, 作为按键的稳定输出, 计数期间Q19输出为0;KEY输入高电平, 计数器清零, Q19输出为0。所以该电路需按键22 ms才会得到有效信号。

2 键控单脉冲发生器电路原理[2,3]

键控单脉冲发生器[4]利用上述电路解决按键消抖问题, 得到稳定的信号[4]。用两个D触发器[5]和一个与门产生单脉冲, 如图1所示。

D触发器U2A收到稳定信号D1=1后被触发。触发器U2A中的Q1端得到与CLK同步的正向脉冲。输出Q1到D触发器U3A, 得到比Q1延迟一个时钟周期的的正向脉冲, 将Q2端输出取反得到一个负向脉冲。Q1与Qn2的输出作为一个与门的输入, 会输出一个脉宽是原时钟周期2倍的单脉冲。

为了使得出的单脉冲脉宽与时钟周期相等, 相位与时钟周期相同, 对图1中电路设计做了改进, 如图2所示[6]。

图2中时钟送入D触发器前加了非门, 使Q1端产生与nCLK (CLK的反向脉冲信号) 同步的正向脉冲, 与门输出单脉冲与CLK差半个时钟周期, 作为D触发器U4A的输入D4, 在CLK上升沿U4A被触发, 使单脉冲脉宽与时钟周期相同, 实现了等脉宽。并延迟了半个时钟周期使输出脉冲与时钟周期对应, 实现了相位调整。整个单脉冲发生器的时序图如图3所示 (图3中的t1, t2是任意键按下与键抬起时刻) 。

3基于FPGA下的按键消抖计数器和单脉冲发生器的Verilog HDL语言描述[7,8]

图1中的按键消抖计数器电路, 其进行描述的Verilog HDL语言[9]代码如下:

代码中还用到了D触发器DFF, 实现这个模块的代码比较简单, 此处从略。

4 结 语

该文中的设计, 实现了键控单脉冲发生器, 产生脉宽等于时钟脉冲, 输出脉冲与时钟周期对应的单脉冲, 并解决了按键消抖问题, 可以应用到各种需要产生单脉冲的FPGA电路设计中。

按键消抖电路可独立地应用于其他FPGA电路设计中。本文中设计的20 b计数器是根据笔者课题需要而定。其他设计中可以根据按键抖动时间可利用公式计算出计数器模值设计计数器。

参考文献

[1]实用可控的按键抖动消除电路[EB/OL].http://www.ic37.com.

[2]余孟尝.数字电子技术基础[M].北京:高等教育出版社, 1999.

[3][美]罗斯.数字系统设计与VHDL[M].金明录, 刘倩, 译.北京:电子工业出版社, 2008.

[4]单脉冲发生器电路[EB/OL].http://www.dzcpkf.com.

[5]李亚伯.数字电路与系统[M].北京:电子工业出版社, 2001.

[6]王兢.数字电路与系统[M].北京:电子工业出版社, 2007.

[7]夏宇闻.Verilog数字系统设计教程[M].北京:北京航空航天大学出版社, 1996.

[8]杨恒.FPGA/VHDL快速工程实践入门与提高[M].北京:北京航空航天大学出版社, 2003.

[9]常晓明, 李媛媛.Verilog-HDL工程实践入门[M].北京:北京航空航天大学出版社, 2005.

[10]王诚, 吴继华.Altera FPGA/CPLD设计[M].北京:人民邮电出版社, 2005.

单脉冲激光散射探测研究 篇2

关键词:激光散射探测,散射辐照度,脉冲特性

激光发散角小,脉宽较窄,决定了其光斑直径通常很小,在激光探测的典型情况下,激光束一般不会直接入射到激光探测设备上,需通过接收大气对激光的散射来探测激光[1]。为了能够提高激光散射探测距离,需计算到达探测器的散射激光照度。通过传统计算散射激光能量的方法所得的结果与理论计算激光指示器回波能量进行比较,发现传统方法未考虑到激光的单脉冲特性,因此,对传统的计算方法进行了改进,提高了散射探测精度。

1 传统激光大气气溶胶散射计算

激光在大气传输的过程中,会受到气溶胶粒子的散射,为激光散射探测提供了必要的条件。光散射主要是瑞利散射和米氏散射。瑞利散射在紫外光谱和高空中起主要作用;而米氏散射则在较低的高度上起主要作用,是优先的散射源,可产生相对较大的可用信号,为激光散射探测提供必要的能量[5,6]。因此主要考虑气溶胶粒子的Mie散射,根据Mie散射理论,设气溶胶的粒径分布范围为r1~r2[7]。

1.1 低空激光大气传输模型

为了方便研究,一般假设气溶胶粒子的大小分布不随高度变化,但与地理环境和气象条件有关。假设在空气能见度为23 km,湿度为75%的都市郊区低空,在陆地上空,气溶胶粒子大小的典型分布为[7]

1.2 低空激光散射的能量分布计算

图1表示激光器和激光探测器的位置关系,其中激光器斜向下照射,激光探测器的视场朝上。其中R为激光器与探测器之间激光传输的轴向距离(以下简称激光传输距离),d为探测器的离轴距离,θ为探测器的视场角。

假设激光器的波长为λ,出射功率为Pt,根据文献[8],在离轴距离为d时到达探测器的辐射照度可以表示为

Pn(1)(cosθ)为一阶n次第一类缔合勒让德函数;

Pn(cosθ)为第一类勒让德函数。

an、bn为Mie散射系数,其计算式为

式中,z可以是χ或mχ;分别为半奇阶的第一贝塞尔函数和第二汉克尔函数;ψ'n、ζ'n为对各自变量的微商;m=m1-m2i为散射粒子的复折射率,对于1.06μm激光,在工程上,大气衰减与能见度的关系[9,10]可表示成a=2.7/V,V是km为单位的能见度。其复折射率为m=1.56-0.089i。典型激光器的输出能量为10 mJ,脉冲宽度为10 ns。探测器的视场角θ=30∘,设β1=40∘,β2=100∘。

对于1.06μm激光,粒子半径为0.1~20μm的大气气溶胶前向散射较强,在此只需考虑前向散射,因此实际取β2=90∘。在不考虑大气湍流影响下,用式(2)计算在传输距离为10 km时,不同离轴距离的探测器所接收到的大气散射激光的最大辐射照度,见表1。

图2为根据表1做的不同离轴距离的辐射照度的曲线。

同样根据式(2),文中计算了激光传输不同距离时在离轴100 m处的激光散射辐射照度,计算结果分别如表2和图3所示:

1.3 数值计算结果分析

由于上述值比较小,实际中很难进行精确的测量,为了讨论上面计算得到的结果,文中对激光器的回波辐射照度进行了计算,可表示为

其中,Pt为发射功率,ρ为目标反射系数,对于漫反射发散角Ω可取为2π,R为作用距离,Ta为单程大气透过率,Ta=exp(-aR)。那么对于1 mW的激光器,当作用距离为10 km时,其接收到的功率为

而在1.2节中计算得到的激光传输10 km时在离轴100 m处的散射辐射照度为6.635×10-3W/m2,表明在距离为10 km情况下,其探测距离将远大于100 m,不能满足精度要求。原因在于传统计算激光散射能量的计算方法,是基于连续工作方式,而对于脉冲工作方式的激光器而言,需要进行必要的改进。

2 脉冲工作方式辐射能量计算的修正

考虑激光的单脉冲特性,那么在式(2)中就不能对探测器整个视场内的激光束进行积分运算。假设

探测器视场内只有一个激光脉冲,如图4所示。

2.1 公式推导

因为考虑到激光脉冲的长度较短,如在1.1节的例子中,脉冲长度为3 m(不考虑相对论影响),那么在计算的时候可以近似认为激光为一个点源来计算,设其长度为dy,在不考虑衍射等能量损失的理想情况下,位于y处激光的辐照度(光强)为

其中,φ为激光发散角,D为出射激光直径。

先考虑单个大气分子的散射,其激光器的轴向距离为R、离轴切向距离为d的探测器,所散射的辐照度(光强)为

激光在y处占的气溶胶体积为那么该部分气溶胶产生的散射可表示为

整理后就可以得到要求的辐射照度公式为

2.2 数值计算与分析

在与1.2节中计算条件一致的情况下,根据式(12)计算得到的离轴100 m,不同β角度的辐射照度如表3所示。

由表3可以看出,激光在离轴100 m左右散射的辐射照度和激光器的回波照度大小是相当的,可以实现100 m左右的散射探测。

另外,从结果还可以看到,散射的辐射照度随散射角度β的增大先增大后减小,通过更小角度间隔,计算得到了散射辐射最大的角度约为β0=33.69∘。

图5为辐射照度随散射角度β的变化曲线。

在β=33.69∘的条件下,图6和图7分别给出了当激光传输距离为10 km时,不同离轴距离的辐射照度曲线和当离轴距离为100 m时,不同激光传输距离的辐射照度曲线。

3 结束语

利用低空激光大气传输模型,分析计算了现有文献关于激光在大气中传输时同距离条件下的激光散射能量,定量计算了不同离轴距离和不同激光传输距离时激光的辐射照度。通过与激光器的回波信号比较分析得出,传统的计算方法未考虑激光器脉冲工作方式的影响。文中对脉冲工作方式下激光散射的公式重新进行了改进,提高了激光散射探测精度,并计算了不同散射角度、不同离轴距离和不同激光传输距离情况下的激光辐射照度。

参考文献

[1]杨坤涛.激光测试原理与技术[M].武汉:华中科技大学出版社,2000:143-144.

[2]安毓英.激光传输技术[J].激光与红外,2002,32(6):435-438.

[3]陈玉丹,何永强,王海涛,等.基于散射探测的鱼眼激光告警方法[J].装甲兵工程学院学报,2011,25(2):55-59.

[4]孙毅义,董浩.大气辐射传输模型的比较研究[J].强激光与粒子束,2004,16(2):149-153.

[5]许祖兵.激光大气传输特性分析研究[D].南京:南京理工大学,2006.

[6]杨洋,赵远.1.06μm激光的大气传输特性[J].红外与激光工程,1999,28(1):15-19.

[7]程玉宝,孙晓泉,赵明辉,等.激光信号大气散射探测分析[J].激光技术,2006,30(3):277-279.

[8]巨养锋,梁冬明,薛建国等.威胁源参数对激光散射截获半径的影响[J].激光与红外,2011,41(4):426-429.

[9]张建奇,方小平.红外物理[M].西安:西安电子科技大学出版社,2004:141-185.

雷达导引头单脉冲成像研究 篇3

关键词:单脉冲,前视成像,静止和运动状态,测角

本世纪的几次局部战争经验表明,与常规武器相比,精确制导武器的作战效能大幅提高,精确制导武器将成为未来的主要作战武器。伴随着隐身技术、电子对抗技术的迅速发展,未来战场环境更加复杂多变,要求精确制导技术具备更强的反隐身能力、抗干扰能力和目标识别能力。毫米波雷达制导技术是一种全天候、全天时的主动式制导技术,其具有较好的全天候性能、对目标的快速搜索能力和作用距离远的特点,但其目标分类识别能力较差。为提高毫米波雷达的目标识别能力,通过毫米波雷达成像技术提取目标的几何特征和内部结构特征,以提高对目标的识别能力,同时利用毫米波雷达二维成像获得几何特征和空间分布实现提高对抗角反射体或箔条等无源干扰和有源干扰能力。现有成熟的雷达成像技术主要有采用侧视、斜视等成像方式的SAR、DBS等技术,但此类技术存在前视成像盲区,大幅制约了其在精确末制导中的应用,因此需开展雷达的前视成像技术研究。

目前,雷达前视高分辨成像技术主要有解卷积方位超分辨技术[1]和单脉冲前视成像技术。但由于解卷积方位超分辨技术的处理算法较复杂以及对目标检测信噪比的苛刻要求,其工程应用适应性较差,仍需进一步完善。相比之下,单脉冲成像技术原理清晰,算法简单,且易于工程实现。

本文根据单脉冲技术[2]的测角原理,结合距离高分辨技术,对目标在静止和运动时的前视成像情况进行了分析。验证了在不同情况下,单脉冲前视成像的可行性。

1 单脉冲测角

单脉冲技术通过比较一次脉冲回波的和、差信号信息对目标的角度进行测量。

如图1所示,每个脉冲均采用两个相同且彼此部分重叠的波束,若目标处在等信号轴线的方向上,则由两波束收到的信号强度相等,否则一个波束的回波信号强度将高于另一个。因此,只需要比较两个波束回波的强弱便可判断目标偏离等信号轴的方向及大小[3]。假定两个波束的方向性函数均为F(θ),接收到两波束的信号电压振幅为E1、E2,设两波束相对天线轴线的偏角为δ,则对于偏离天线轴线θ角方向的目标,其和信号振幅表达式为

差信号振幅表达式为

现假定目标的误差角为ε,由于在跟踪状态下,ε较小,故将FΔ(ε)在0处展开成泰勒级数并忽略高次项,可得

可看出,在一定误差范围内,差信号的振幅大小表明了目标误差角的大小,其相位则表明了目标偏离天线轴的方向,而与信号的相位和目标偏向无关[4,5],所以一般用和信号作为相位基准,并利用差信号与和信号的比值来鉴别目标偏离天线轴线的方向。典型的和差信号方向图如图2所示,其中,两波束方向轴夹角为0.2 rad。

单脉冲体制雷达中,系统的方位分辨率主要取决于接收天线的波束宽度。对于远距离目标,雷达波束宽度通常大于目标形体对雷达的张角,这时要依靠雷达的角分辨率来区分目标的各组成部分是很困难的。单脉冲成像主要依靠距离高分辨性将目标不同散射点进行分离,然后对各散射点分别测角,从而实现对同一雷达波束内不同高分辨距离单元的不同散射点进行分离和定位。同时结合目标的一维距离像,便可获取各散射点的坐标信息,并根据和通道信号的幅度获得相应像素点的灰度值描点成像,从而获得单一波束照射区域内的目标各组成部分雷达特性图像。

单脉冲成像技术可测得目标在实波束中的精确位置。因此,将其应用于雷达对地面的成像处理中可显著改善图像质量。即运用该种技术,不仅能够显著提高实波束成像图中较多特征目标的清晰度,且能够提高其方位位置的精确度。同时这种改善不依赖于目标的多普勒频率,故可实现SAR、DBS等技术难以实现的前视图像效果的改善,且具有算法简单、成像时间短的特点。

2 成像分析

设雷达发射的脉冲压缩信号为s(t),在探测区域存在N个目标,每个目标的后向散射系数为σn,方位角为θn,目标距雷达的距离为Rn,天线的转速为ω,轴线方向为α。

根据给出的条件可知,目标回波延迟时间,这段时间内,天线转过的角度为Δαn=ωΔtn,当天线发射波束时,目标与天线轴线夹角为θn-α。而当接收回波时,目标与天线轴线夹角为θn-α+Δαn,此时接收到的和差信号为

根据前面的推导,可较容易求出每个目标偏离天线轴线的角度。

当测量目标的俯仰角时,由于同一天线的方向图是固定的,因此测量目标俯仰角的方式和测量目标方位角的方式并无区别。

实际应用中,目标可能具有一定的速度,弹体也一定是向前运动的。对于运动的目标和弹体,文中可在方位维度上以弹体为原点建立极坐标系,并将目标相对于弹体的运动速度v分解为切向速度vt和径向速度vr。

当目标只有切向速度时,目标与弹体间距离R保持不变,在目标回波延迟时间Δtn内,目标相对天线转过的角度为,这等效于改变了天线的旋转速度,因此天线接收到的和差波束变为

在弹目距离为R时,单个脉冲收发时间为,当目标在3 km时,可知收发时间,而脉冲重复频率为1 k Hz。由此可看出,脉冲周期TΔt,因此主要由相参积累时间来决定目标运动距离。当目标切向速度为30 m/s(108 km/h)时,若成一帧像需64个脉冲,则在成一帧像的时间内,目标所移动的距离为1.92 m,若目标速度小于该数值,则在成像时间内,目标的移动距离更小。因此,切向运动对单脉冲成像的影响可忽略。

当目标只有径向运动时,弹目距离发生变化,而目标和天线轴线夹角不发生变化。此时接收到的和差信号表达式变为

由表达式可看出,这种运动状态下,回波的和差信号幅度发生变化,而误差角与差波束间的线性关系并未发生变化,而若以SΔ/S∑测量误差角时,和差信号幅度的变化并不会影响该方法的测角精度。

3 测角仿真

假定目标的分布如图3所示。

天线波束宽度为3°,天线扫描时转速为30°/s,此时静止目标所成的距离-方位像如图4所示。

图4(a)为单脉冲成像图,图4(b)为实波束成像图。由此可看出,在多个目标间距离小到一定程度时,实波束成像已无法完全区分多个目标,而此时单脉冲成像仍能较好地区分距离近处的多个目标。即与传统的实波束成像相比,单脉冲成像方式实现了波束锐化,同时角分辨率也得到了一定程度的提高。

当目标以30 m/s的速度作切向运动时,成像仿真如图5所示。

通过对比图4和图5可知,目标的切向运动对成像的影响并不明显。这也印证了前面的分析。

4 结束语

通过仿真可看出,在雷达的实际使用中,单脉冲测角可较精确的得出目标方位角和俯仰角。因此在已知弹目距离的情况下,通过该成像方式,可容易确定目标在空间的确切位置。在实际应用中,需同时确定目标方位角和俯仰角的大小,因此实际天线发射的脉冲是由4个对称且相互部分重叠的波束构成。其4个波束分别占据4个象限[6],接收回波后,再进行和差处理,即可同时测量出目标的方位角和俯仰角。

由于单脉冲成像方式与视角无关,能够对任意视角进行成像,弥补了SAR和DBS成像的前视盲区。同时,和实波束成像方式相比,其角分辨率也有一定的提高,且成像算法的运算量较小,工程实用性高。

参考文献

[1]李悦丽,梁甸农,黄晓涛.一种单脉冲雷达多通道解卷积成像方法[J].信号处理,2007(5):699-703.

[2]吴迪,朱岱寅,朱兆达.机载雷达单脉冲前视成像算法[J].中国图象图形学报,2010(3):462-469.

[3]贺林峰.单脉冲成像[D].武汉:华中科技大学,2008

[4]丁鹭飞,耿富录,陈建春.雷达原理[M].4版.北京:电子工业出版社,2009.

[5]张明友,汪学刚.雷达系统[M].3版.北京:电子工业出版社,2011.

[6]雷璐.基于单脉冲测角技术的雷达高分辨三维成像方法研究[J].科技创新导报,2010(9):4-5.

[7]孙富君,陶建锋,孙宏伟.单脉冲雷达的角度跟踪干扰研究[J].现代雷达,2004,26(1):17-18,36.

程控200kV脉冲发生器的研制 篇4

随着脉冲功率技术的研究与应用工作的深入开展, 对高压脉冲发生器的自动化及相关技术提出了更高的要求。在脉冲功率技术的应用研究过程中, 需要一种200 kV的高压脉冲发生器, 其高压脉冲输出幅度和频率连续可调, 并能进行脉冲“+/-”极性及限流电阻的自动转换。

为满足脉冲功率技术应用研究的需要, 在“200 kV脉冲发生器”[1]研制成果的基础上, 采用计算机控制技术对高压脉冲的产生、输出幅度、“+/-”极性和限流电阻转换进行自动控制。在“程控200 kV脉冲发生器”工作时, 因贮能放电产生数十千安培的脉冲电流, 同时, 仪器还处于各种电器频繁启动 停止的环境中, 因此, 仪器的各种功能、技术指标和可靠性取决于控制过程、高压绝缘、抗强电磁干扰等技术的设计。

1 程控高压脉冲发生器的组成和工作原理

程控200 kV脉冲发生器主要由可调直流高压电源、储能器、高压脉冲变压器、高压开关、触发器、控制器、工业控制计算机、打印机、存贮示波器、限流电阻箱、极性转换器、电流测试环、高压脉冲分压器和可调直流电源等构成, 其原理方框图如图1所示。

程控200 kV脉冲发生器的工作原理是采用高压电容器贮能通过高压开关瞬间放电[2], 由高压脉冲变压器产生60~200 kV的高压脉冲, 该高压脉冲经“限流电阻转换箱”加载到“负载”上, “高压脉冲分压器”与“负载”并联, “存贮示波器”监测脉冲电压/电流波形。计算机根据技术要求控制“可调直流电源”的输出电压即可得到不同的脉冲高压输出幅度;计算机输出触发脉冲便可调节高压脉冲输出的频率;通过“示波器”采集电压和电流波形的变化, 分析负载的工作状况, 由此来决定电压幅度和限流电阻的增加或减少。计算机通过控制“控制器”实现高压脉冲“+/-”极性和限流电阻的自动转换。

在整个程控过程中, 计算机进行状态检测, 一旦出现故障, 立即声光报警, 保存信息, 并停止控制进程, 等排除故障后可重新进入程控。

2 关键技术

程控高压脉冲发生器的输出电压高达200 kV, 在工作时, 贮能放电产生数十千安培的脉冲电流, 因此, 发生器的各种功能、技术指针和可靠性取决于高压脉冲的产生、控制、高压绝缘、抗强电磁干扰等技术, 其中绝缘耐压和抗干扰是高压脉冲发生器的关键问题。高压绝缘决定了高压脉冲发生器、高压脉冲“+/-”极性和限流电阻自动转换的可靠性和寿命, 因此, 在重点设计高压绝缘的同时, 需选用抗电磁干扰能力强的元器件 (如:低压继电器、真空高压继电器、牵引电磁铁和隔离变压器等) 构成特殊的功能单元电路, 以提高系统的抗干扰能力。

2.1 高压脉冲产生器

高压脉冲产生器由可调直流高压电源、储能器、高压脉冲变压器、高压开关、触发器和直流电源组成[3,4], 如图1所示。计算机根据技术要求输出数码, 由D/A转换及放大后控制“可调直流电源”的电压输出, 该电压经DC/AC变换后产生的高频高压, 由倍压整流滤波后得直流高压;直流高压为“储能器”充电;“储能器”所储存的能量由“触发器”使“高压开关 (K) ”导通, “储能器”、“高压开关 (K) ”和“高压脉冲变压器”的初级构成的回路放电, 在“高压脉冲变压器”的次级输出60~200 kV的高压脉冲。

2.2 高压脉冲“+/-”极性的转换

为保证高压脉冲正负波形的一致, 在设计的转换时, 应不改变脉冲变压器的初级回路参数而影响脉冲变压器的输出幅度和脉冲宽度。采用真空高压继电器设计一种新型的“+/-”极性转换控制器, 计算机根据技术控制真空高压继电器实现脉冲高压“+/-”极性的自动转换, 其原理示意图如图2所示。

2.3 限流电阻的自动转换

限流电阻是工作在高压脉冲变压器的输出端和“负载”之间, 因此, 需对限流电阻的功率、耐压绝缘进行设计, 以确保其功能的可靠性。

采用低压继电器和牵引电磁铁组成限流电阻的自动转换控制器, 其原理示意图如图3所示。绝缘结构的设计是根据牵引电磁铁的行程、绝缘介质、工作电压以及限流电阻的触点和移动触头良好接触进行综合设计, 采用有机玻璃箱, 将限流电阻、移动触头、触点浸在变压器油中, 以保证其耐压强度。

3 软件设计

VB语言具有人机界面简单明了, 易于编写的特点, 故该程序采用VB语言编写[5]。为降低编程的难度, 便于程序的编制和调试, 软件设计采用模块化[6]。

人机界面采用两个窗体:一个用于输入参数, 一个用于运行控制 (实现参数输入、运行、暂停、打印以及关机等功能) , 输入的参数以文件的方式保存。

在运行窗体上采用串行口通信控件来实现示波器的脉冲电压和电流数值的采集, 在程序运行过程中, 以“时间”控件作为脉冲频率控制, 其电压数值的输出采用数码输出, 经D/A转换去控制高压电源的高压值, 而电压值的升降和限流电阻转换决定于负载的工作状况, 用条件判断语句即可。

4 抗干扰技术

程控高压脉冲发生器在工作过程中将产生60~200 kV的高压脉冲, 数千安培的脉冲电流, 同时, 高压脉冲“+/-”极性和限流电阻的自动转换使得各种电器频繁启动或停止。因此, 设备的各种功能、技术指标和可靠性都取决于系统特别是计算机抗强电磁干扰的能力。

为保证系统的性能和工作的可靠性, 采用光电、电磁和变压器等隔离技术, 优化系统设计, 设计好安全接地 (大地) 和工作接地, 提高系统的抗干扰能力[7,8,9,10], 系统抗干扰的示意图如图4所示。

4.1 系统的优化设计

为提高系统的抗干扰能力和工作的可靠性, 根据技术要求确定合理的、科学的控制程序的同时, 首先优化系统的配置, 选用适合于工业生产环境、抗干扰能力强的设备仪器, 如工业控制计算机、直流电源和继电器 (真空高压继电器、牵引电磁铁) 等, 其次是设置合理控制量, 以避免程序进入死循环。

4.2 隔离技术

用带屏蔽的隔离变压器 (1∶1) 来抑制交流电源的高频噪声, 并且屏蔽层可靠接地, 以提高抗共模干扰能力。采用光电隔离实现计算机总线与被控制对象 (真空高压继电器、牵引电磁铁和低压继电器) 测之间完全的电隔离, 并消除公共地线和电源的干扰, 从而保证计算机系统可靠工作。

采用继电器用作系统信号传递、电路切换以及功能的执行等, 可以提高抗外部环境对系统的电磁干扰能力, 但它本身又是噪声源。因此, 对继电器线圈应采取相应的“瞬态抑制电路”和触点消弧电路。

4.3 程序加固

在软件设计时, 采用软件陷井和指令冗余技术对程序进行加固, 使程序受到某种干扰, 进程号被改变, 密码不对, 从而程序进入出错处理, 减少了干扰情况下弹飞的程序造成的不良后果的机会, 以提高程序运行的可靠性。

5 样机与结论

程控高压脉冲发生器采用计算机控制技术, 实现了输出幅度为±60~200 kV连续可调、高压脉冲“+/-极性”及“限流电阻2~17 kΩ”转换器的自动控制, 其输出波形图如图5所示。

6 结 语

采用计算机控制由低压继电器、真空高压继电器和牵引电磁铁等特殊器件构成具有特殊功能的“控制器”实现高压脉冲“+/-”极性和限流电阻的程控转换。采用隔离变压器、光电耦合和电磁屏蔽等抗干扰技术, 提高了程控系统的抗强电磁干扰能力, 其性能稳定可靠、操作简单和安全。

参考文献

[1]米伦.200kV脉冲发生器的研制[J].高压电器, 2004, 41 (11) :21-24.

[2]白峰, 邱毓昌.利用脉冲功率技术对岩石进行钻孔[J].高压电器, 2001, 37 (2) :26-28.

[3]王宗仁.核仪器电子技术[M].北京:原子能出版社, 1977.

[4]王莹.高功率脉冲电源[M].北京:原子能出版社, 1991.

[5]韦源, 于平.Visual Basic程序设计基础[M].北京:清华大学出版社, 1998.

[6]冯树椿, 徐六通.程序设计方法学[M].杭州:浙江大学出版社, 1988.

[7][美]R F格拉夫.电子电路百科全书[M].北京:科学出版社, 1989.

[8]阎石.数字电子技术基础[M].北京:高等教育出版社, 1983.

[9]秦曾衍.高压强流脉冲放电及应用[M].北京:北京工业大学出版社, 2000.

单脉冲发生器 篇5

片上可编程系统(System on Programmable Chip,SoPC)是Altera公司提出的一种灵活、高效的SoC解决方案,将处理器、缓存、存储器、I/O口、高速总线等系统设计需要的功能模块集成到一个FPGA器件上,构成一个可编程的片上系统[1]。采用SoPC技术可以大大提高系统运行速度,缩小尺寸,降低总体系统成本,克服多芯片集成系统设计所产生的一些困难,通过提高芯片集成的系统功能以获得更高的系统性能,减少电路板面积,降低制造成本。本文采用的方法充分利用了SoPC的特性和优势,合理地选择所需处理器和外设接口控制模块,既保证了处理速度,又最大程度地降低了系统成本。

1 工作原理

本文采用基于大规模FPGA的嵌入式系统设计,硬件上主要由控制计算机、USB接口单元、FPGA和外围接口电路等部分组成。软件上,Nios II主控制软件采用C语言设计,部分外围逻辑模块使用VHDL程序设计,该设计的模块功能划分清楚明确,并且各个功能模块之间的耦合性极小,因此模块可以实现切割测试,整个系统整合后可以达到较高的可靠性,缩短了开发周期。系统的结构框图如图1所示。

系统主控器件为一个基于FPGA的32位嵌入式CPU系统,由Altera公司的EP2C35和SRAM等芯片构成,各功能模块在它的控制下协同工作。其中嵌入式CPU是一个Nios II内核,它与外设控制接口、核外逻辑单元构成一个完整的SoPC系统,处理器根据需要添加各种嵌入式外设,可以较为容易地在硬件方面实现对系统外设的管理,控制计算机与脉冲发生器之间的数据通信则由一个USB接口单元实现。

2 系统实现

本文包括硬件电路设计、SoPC系统搭建和Nios II软件设计3个层次。

2.1 硬件实现

系统主要由EP2C35,SRAM,EPCS等芯片和相应的外围接口电路构成。

2.1.1 主机与各功能部分之间的通信

脉冲发生器由USB接口单元与主机连接,以FPGA作为控制中心,通过USB接口单元实现FPGA与计算机之间的数据通信。USB接口单元采用FT245芯片,可以实现计算机与FPGA芯片之间的并行通信,最大传输速度可达到1 Mbyte/s。

2.1.2 脉冲信号模拟模块

脉冲信号模拟部分主要产生各种特定的数字脉冲信号,包括4种脉冲波形:第1种为72位不归零码,共1路,它在中断信号和移位脉冲信号的时序控制下按位输出固定数码,可以手动设置输出,默认状态为01,23,45,67,89,AB,CD,EF,0F,其中中断信号频率为40 Hz,脉宽为7 ms,移位脉冲是周期为12μs,8位一组,每组间隔96μs的信号;第2种为特定频率的规则脉冲信号,共1路,频率为256 kHz,占空比50%,需产生的脉冲个数范围为0~216个;第3种为特定频率的不规则脉冲信号,共2路,每路均包括两通道脉冲信号,频率为500 Hz,脉冲宽度为6μs,两通道脉冲信号间时间间隔为0.5μs的整数倍,最大为1 ms,发送脉冲的个数范围为0~216个;第4种为可变频率的不规则脉冲信号,共6路,频率为1~75 kHz,平均分为6挡,脉冲宽度为2μs,发送脉冲个数范围为0~224个。可以通过计算机软件实时修改各种输出脉冲信号的路数、频率和个数。图2为脉冲信号模拟模块原理框图。

计算机软件根据设置产生相应个数和频率参数的各路脉冲信号,并通过以下步骤实现脉冲信号输出:1)通过USB接口单元向脉冲信号模拟模块发送复位指令,复位FPGA;2)计算机通过USB接口单元发送脉冲参数,FPGA接收并暂存入一数组中,经校验无误后,通过Nios II内核相应的I/O口输出脉冲参数给相应的脉冲产生模块,各数字量脉冲产生模块输出相应的脉冲信号。如果与发送的参数不一致,则需要向上位机返回重发命令请求,重新执行步骤1)~2)。

2.2 SoPC系统搭建

Nios II嵌入式内核是系统的核心模块,包括CPU核、JTAG控制接口、EPCS控制接口以及与外围模块进行数据通信的I/O控制接口,为了满足程序代码存储特性的需求,存储器采用EPCS16和SRAM芯片。图3是CPU模块的部分截图,其中包括部分芯片与FT245通信的I/O控制接口和SRAM控制接口。

2.3 Nios II主程序

系统的主程序采用C语言设计。相比VHDL语言来说,代码更优化、系统更方便维护和升级。主程序的流程是:上电后系统进行初始化,在上位机界面设置好各参数值后,参数值以数据包的形式下发至FT245,并暂时存储至FT245内部的缓存器中。程序进入等中断请求的状态,如果没有中断请求信号到来则继续等待,如果有中断请求到来则读FT245中的数据并将其存储至一数组中进行数据校验、解包,解包后的数据如正确无误则下发至CPU核各I/O端口,从而控制其相应的数字脉冲产生模块产生所需数字脉冲信号。数据分发完成后再次进入等待命令的状态,如此循环进行。流程如图4所示。

3 上位机控制软件

上位机软件是数字信号发生器的主控软件及数据处理软件。该软件主要实现地面计算机与信号发生器之间的通信、数据传输、实时显示、接口检测、信号发生器工作状态检测及数据处理等功能,是实现人机对话的中间媒介。本软件采用VB进行设计,可以在Windows 7/XP操作系统上运行。图5是上位机软件的功能模块图。

4 系统测试结果

本系统经过实际测试后,各项功能指标均满足任务要求。图6为脉冲信号模拟模块产生的1路特定频率规则脉冲信号,其中上位机软件中的个数设置为8个。图7为可变频率不规则脉冲信号,其中个数设置为5个,频率为1 kHz。图8为特定频率不规则脉冲信号,两脉冲信号的时间间隔设置为1.5μs,个数为2个。图9为不归零码测试波形,其中CH1为中断信号,CH2为移位脉冲信号,CH3不归零码信号。

经过测试和试验,完全满足各项指标要求。各脉冲信号精度要求以及达标情况见表1。

5 小结

本系统采用SoPC技术,利用Nios II嵌入式软核CPU软硬件系统可编程及可在线调试的优点,将所需模块控制接口集成至Nios II处理器系统中。根据上位机下发的不同命令来产生不同频率和个数的数字脉冲信号,通过示波器观察所产生的各种脉冲信号,满足了系统设计要求,实现了可选通道、可变频率和个数可控数字脉冲信号的产生。

摘要:介绍了一种采用SoPC技术实现多通道数字信号发生器的方法,系统由FPGA及相应接口电路组成,将Nios Ⅱ嵌入式软核CPU集成到FPGA中,构成片上系统(SoC),可以产生多种特定的数字脉冲信号,能够通过上位机软件控制实现不同通道、个数和频率脉冲信号的输出。经验证,介绍的方案稳定精确,能够提供通道、频率和个数可控的数字脉冲信号。

关键词:多通道,片上可编程系统,现场可编程门阵列,Nios Ⅱ

参考文献

[1]SOPC(片上可编程系统)灵活、高效的解决之道[EB/OL].[2010-08-06].http://www.dianzi9.cn/SOPC/200808/12-6483.html.

[2]倪亮,吴丽敏,赵鹏飞.基于SOPC基本信号产生器的设计与实现[J].电子科技,2011,24(1):89-92.

[3]周立功.SOPC嵌入式系统基础教程[M].北京:北京航天航空大学出版社,2006.

基于单片机的低压脉冲发生器研制 篇6

准确快速地确定电力电缆故障点,能够提高供电可靠性,减少因停电而带来的损失[1,2]。近年也有研究提出了新的故障定位方法[3]。低压脉冲法是目前广泛采用的一种电力电缆低阻、短路和断路故障测距法,其基本原理是在电缆始端施加一个发射脉冲,该脉冲传播到故障点会发生反射,反射脉冲往回传播,检测发射脉冲和反射脉冲之间的时间间隔即可判断故障点距测试端的距离[1]。

目前已有多种产生脉冲的方法[4,5,6,7],主要是基于晶体振荡器和分频器来控制电路或者利用 LC 振荡电路和延时线产生脉冲。其缺点是产生较窄宽度的、形状较好的脉冲比较难,有些方法产生的脉冲还有拖尾和反冲,实际测试时会和反射脉冲重叠影响故障探测。也有的脉冲发生器的控制脉冲由时钟产生芯片产生,其缺点是脉冲宽度和频率不易调节。本文介绍的低压脉冲发生器基于单片机和电力电子技术,可以方便地控制脉冲的宽度和频率,并且通过脉冲变压器耦合,再加上阻抗匹配网络、调压装置等,可以解决上述问题。

1设计思想与原理

文献[8]提出了利用 MOS 管的高速开关特性产生窄脉冲的方法,但是控制方法不够灵活。本文的主要思想是用单片机的 I/O 口和脉冲变压器的灵活性来产生和控制脉冲。主要分控制电路和脉冲产生电路2部分,整体构造如图1所示。

控制电路主要是 5 V 的低压电子电路系统,由以下部分组成:

a. 单片机,产生 5 V 的窄脉冲,并对整个装置进行控制;

b. 按键输入,作为人机交互界面,根据按键的输入信息可以调节脉冲宽度和频率;

c. LED 显示,当前脉冲参数信息通过 LED 指示输出;

d. 控制脉冲输出,编写程序使单片机的某一 I/O 执行清零、置一、清零操作,产生方波脉冲输出;

e. MOSFET 触发电路,由单片机产生的方波控制信号较微弱且控制回路不能混入高压信号,所以该触发电路起到了信号放大和隔离作用。

脉冲发生电路工作于几百伏的电压等级,由以下部分组成:

a. MOSFET,它的显著特点是驱动电路简单、所需驱动功率小,并且开关速度快、工作频率高,能够很好地对触发信号作出反应[9],用 MOSFET 的通断来控制脉冲变压器的通断;

b. 脉冲变压器,在 MOSFET 的控制下导通,又在很短的时间宽度内随着 MOSFET 的关断而截止,以此产生一个脉冲,并耦合到次边输出;

c. 调压装置,调节变压器线圈的接入匝数可以调节输出脉冲的电压幅值;

d. 阻抗匹配网络,阻抗匹配主要是由脉冲变压器完成,该环节起到了微调作用以适应不同场合。

低压脉冲发生器的主要部分原理图如图2所示。

1.1 单片机控制的优势及选型

利用单片机的 I/O 口可以很方便地产生脉冲,脉冲宽度和频率可以随意调整,脉冲形状好。此处用到的单片机要有足够的 I/O 口用于接收命令、发送当前状态指示和产生触发信号;有较快的 I/O 操作速度,以产生较窄的脉冲;有较强的 I/O 驱动能力,否则脉冲信号容易畸变。要实现 0.1 μs 级别的脉冲,要求单片机的 I/O 操作速度为 10 MHz。目前,51系列单片机的时钟能达到 40 MHz,但是内部经过12分频(12时钟周期),I/O 的操作周期为一个系统周期(单指令周期),实际 I/O 的操作速度还不到 4 MHz。因此,应选择时钟周期和指令周期短的精简指令集(RISC)的单片机。具体选型可参考文献[10]。

1.2MOSFET 触发电路

MOSFET 是电压控制型电力电子元件,在栅极和漏极之间施加一定的电压能使源极和漏极导通,该电压低于一定值则关断。MOSFET 是目前导通和关断速度最快的电力电子元件,利用其快速通断性可以实现窄脉冲的产生。但是,其栅极存在吸收电容,开通时要吸收电荷,关断时放电,所以要求触发脉冲有一定的驱动能力并在关断时能吸收电荷。理想的 MOSFET 触发脉冲应该是陡升陡降的,并且在降到零以后有个负电压以吸收电荷[9]。

参考文献[11]的有关电路,本文采用如图3所示的触发电路,这里也用到了一个 MOSFET 和一个脉冲变压器,它们工作在 5 V 电压级别。单片机的 I/O 口产生 5 V 的脉冲,触发 MOSFET,它的通断控制脉冲变压器的通断而产生一个脉冲,该脉冲作为主 MOSFET 的触发脉冲。其工作过程类似于主电路中的脉冲发生回路。

触发电路产生的触发脉冲 UC 的波形如图4所示。该波形是采用泰克 TDS3032B 示波器采集,在虚拟仪器上再现的波形。可以看出,这种方法实现的触发脉冲上升和下降都很快,并有一个反冲电压,可以很好地满足要求。

1.3 脉冲变压器

在低压脉冲法电缆故障测距中,习惯用负脉冲作为测试信号,测试电路和电缆要隔离并且要求阻抗匹配才能得到较好的测试效果,电路的主要部分工作在脉冲方式。脉冲变压器可以方便地用来变换脉冲电压或电流,改变脉冲极性、阻抗,并实现电气隔离[12]。因此采用脉冲变压器是很好的方法。

1.3.1 等效电路

脉冲变压器的等效电路如图5所示。图中,Lμ′ 为励磁电感;LS1′ 、LS2为线圈漏感;R1′、R2为线圈电阻;RB′为磁芯磁滞作用和涡流作用的等效电阻;C1、C2、C12分别表示初级线圈电容、次级线圈电容和线圈间电容。

1.3.2 磁芯选择

不同工作状态的脉冲变压器需要考虑的参数也不同。此处脉冲变压器工作在很短的脉冲,瞬时频率约为 10 MHz,要求脉冲前沿上升和后沿下降快,平顶过冲和降落小。因此,漏感和分布电容起着决定性作用,损耗可以不用考虑。本文中磁芯采用耦合系数较高的铁氧体磁环,磁环尺寸选择如下:

S1S2=ΡΤ×1062ηBmfδΚΜΚC

其中,S1为磁芯截面积;S2为磁芯窗口面积;PT 为变压器标称功率,按脉冲幅度 300 V,等效负载 100 Ω 计算,PT 为 900 W;η 为变压器效率,取0.9;Bm 为最大磁感应密度;δ 为绕组中电流密度;f 为工作频率,取瞬时频率 10 MHz;KM 为窗口铜填充系数;KC 为磁芯填充系数。对于铁氧体磁环,KC=1,Bm=0.15 T,KM=0.2。据此可计算出磁环尺寸。

1.3.3 线圈选择

初级线圈匝数计算:

Ν1=Umax×0.5×1034BmfSΡ

其中,Umax 为最高瞬时电压;Bm、f 同上;SP 为磁芯面积乘积,SP=SS2。

次级线圈:

Ν2=nΝ1

其中,n 为变压器变比。

计算步骤详见文献[12,13],这里不再赘述。

1.4 阻抗匹配网络和调压装置

阻抗匹配得好才能使脉冲发生器带负载能力强,接上电缆后发射脉冲幅值不至于衰减太大。接收反射波的时候,故障点相当于激励源而发生器本身相当于负载,此时阻抗匹配性能也影响到反射波的幅值和形状。阻抗匹配最主要是在脉冲变压器的设计中进行,但是在输出电路加上阻抗匹配调节网络,可以在一定范围内调节阻抗值和输出脉冲的幅值,以适应不同的测试条件。文中采用的是电阻网络匹配形式。

当故障点离电缆出口较远时,脉冲经过路径较长,衰减较大,采集的信号微弱,增大脉冲电压幅值可以解决这个问题。调压装置可以设置不同的电压幅值,以适应不同长度的电缆。

2实际测试

研究制作完成后进行了实际测试,用泰克 TDS3032B 示波器观察和采集波形,波形在虚拟仪器上再现。当电缆为断路故障时,反射脉冲和发射脉冲同极性,测试波形如图6所示。此时脉冲宽度置于 0.14 μs 档,脉冲较尖,发射脉冲波形幅值较大,波形良好。

短路故障时,反射脉冲和发射脉冲反极性,短路故障波形则如图7所示。此时脉冲宽度置于 0.5 μs 档,发射脉冲基本为方波。

3总结

有研究提出了小波变换在行波检测式电缆测距中的应用[14]和电力电缆故障低压脉冲自动测距方法[15]。这些方法都是基于测距仪器的良好波形的基础上进行的。笔者研制的低压脉冲发生器产生的波形能很好地进行以上各种方法的后续处理。

把单片机的高速和可编程性、电力电子元件的快速通断性和脉冲变压器对脉冲波形的良好变换性能相结合,组成了一个数字电路控制模拟电路的混合系统。该低压脉冲发生器用于实际测试中性能良好、控制方便。按键输入变换脉冲宽度,发光管指示当前状态,有较好的人机交互界面。设计思想有一定参考价值。

该脉冲发生器产生的脉冲,最窄宽度可以达到 0.14 μs,频率采用常用的 100 Hz 即每秒发100次脉冲,也可灵活调整;电压幅值可达 300 V 以上,可根据不同情况调整电压幅值;阻抗可调节。经实际测试效果良好。基于单片机来研制脉冲发生器,在提高波形质量的同时也提高了装置的智能化和易操作性。随着单片机技术和电力电子技术进步,基于此设计方法实现的脉冲发生器性能将更加优良。

单脉冲发生器 篇7

关键词:LabVIEW,时序脉冲,信号发生器,过程控制

0 引 言

在过程控制和自动测量中,经常需要一些时序控制脉冲来触发和关闭不同的控制单元和功能部件的工作。时序脉冲信号的产生,传统上一般采用硬件方式实现,早期大多采用计数器和寄存器进行设计,近年普遍采用可编程逻辑器件(PFGA)或数字信号处理器(DSA)[1]。采用硬件方式实现的时序脉冲信号发生器存在仪器功能单一,信号输出通道路数较少,参数调节不方便,仪器的升级换代困难等缺点;而采用基于LabVIEW的“虚拟仪器”概念设计制作的时序脉冲发生器却具有界面直观、功能多样、参数调节方便、容易升级换代等特点。

1 LabVIEW简介

实验室虚拟仪器集成环境[2,3](Laboratory Virtual Instrument Engineering Workbench,LabVIEW)是美国国家仪器(National Instruments,NI)公司推出的一种基于“图形”方式的虚拟仪器开发软件。它具备强大的信号采集、信号发生、数据分析与存储显示等功能,集开发、调试、运行于一体,广泛应用于测试测量和过程控制系统中。基于LabVIEW软件和计算机的数据采集卡,通过简单编程,可以方便地实现信号的采集和产生、分析和处理等功能,即“计算机+软件”等于仪器,比如:可以实现虚拟的信号发生器、数据记录仪、示波器等功能,具有设计灵活,界面直观,通用性强,升级方便等特点。

LabVIEW程序称为“虚拟仪器”或简称为VI,一个LabVIEW程序由前面板和程序框图两部分组成。前面板用图形方式模拟传统仪器的操作面板,包含各种控件和指示器,用来为程序提供输入值,并接受输出值;程序框图包含以图形方式表示的程序代码。

LabVIEW还为编程、查错、调试提供简单、方便、完整的环境和工具。除了具备其他语言所提供的常规函数功能外,LabVIEW中还集成了大量生成图形界面的模板,丰富实用的数值分析和数字处理功能,以及多种硬件设备驱动功能。

LabVIEW面向的是没有编程经验的用户,而不是编程专家,尤其适合从事科研开发的科学家和工程技术人员,所以被誉为“工程师和科学家的语言”。

在此,基于LabVIEW软件和NI PCI-6229数据采集卡设计制作了多路时序控制脉冲信号发生器,可以应用于各种过程的自动控制中。

2 硬件介绍[4,5]

基于LabVIEW软件和多功能数据采集卡,可以实现模拟和数字信号的采集,以及信号产生等多种功能,性价比较高。NI公司提供了大量不同接口和不同档次能与LabVIEW软件很好结合的数据采集卡,使用者可以根据实际需要进行选择。这里选择NI公司的M系列多功能数据采集卡NI PCI-6229。采用NI公司的产品,配合NI-DAQmx测量服务软件可以省去硬件驱动程序兼容性等麻烦。NI PCI-6229数据采集卡基于PCI接口,共有4路16位模拟输出,输出速率达833 kS/s,输出电压范围为-10~+10 V;32路单通道或16路双通道16位的模拟输入,通道采样频率可达250 kS/s;48路数字输入/输出通道,输出为TTL电平,板载10 MHz时钟的硬件定时数字输入/输出,能以硬件定时精度来同步数字和模拟功能;两个80 MHz,32位的计数器/定时器;采用两个DMA通道,能同时执行多个功能。该板卡具有输入/输出路数较多,配备板载硬件时钟源,分辨率较高,稳定性好,性价比较高,时钟精度可满足大多数系统的要求。

3 时序脉冲信号产生的方法

基于LabVIEW的虚拟时序脉冲信号产生一般采用定时翻转输出状态的方法。具体有:

3.1 状态延时法

如图1所示,先输出低电平,然后保持低电平并延时,再输出高电平,再保持高电平并延时,一个过程可以产生一个周期脉冲信号。循环上述过程,就可以周期性地输出脉冲信号。

这种产生方法的脉宽和延时精度决定于高低电平的延时精度。软件延时通过调用延时函数(即Wait函数)来实现,而LabVIEW中的Wait延时函数最小只能到毫秒级,并且受Windows操作系统中多任务运行的影响,在同时运行其他程序时,延时时间不稳定。因此,这种方法只有在延时和脉宽调节精度不高的场合可以适用,而对稳定性和精度要求较高的场合,并不适用。

3.2 时钟信号法

利用数据采集卡自带的时钟信号发生器直接产生周期性的脉冲波形。这种方法可以结合NI公司的DAQ Insistant(助手)方便地设置参数,产生所需的脉冲波形。由于采用板卡的时钟信号发生器是完全基于硬件定时的,所以延时时间和脉宽调节精度及稳定性较高,具体参数取决于板卡的时钟频率。但这种方法受数据采集卡的时钟信号发生器个数和输出的路数限制,一个时钟信号的发生器只能输出一路信号,而普通的数据采集卡只有一个或几个时钟信号发生器,所以产生信号路数较少。

3.3 数字波形法

先通过软件产生波形(模拟波形),再转换成数字波形,然后从数字通道输出,循环上述过程,就可以连续产生一路周期性的TTL脉冲信号。如果需要产生多路的时序脉冲信号,只要采用多路数字信号序列同步输出的方法产生即可。比如:需要产生如图2所示的两路脉冲信号波形,可以同步地以1 kS/s的样本输出速率,分别在两个数字通道输出如图3所示的两列数字波形。

如果是多路时序脉冲,只需要增加同步输出路数就可以实现。然而时序脉冲信号的延时精度和脉宽精度调节取决于每个数字通道的样本输出速率,如采用1 MS/s的样本输出速率,则可以实现1 μs(1 s/1 MHz)的调节精度,延时时间和脉冲宽度调节则通过改变延时数字样本数和脉宽数字样本数实现,具体关系为:

延时时间=精度×延时数字个数,

脉冲宽度=精度×脉冲宽度数字个数

采用数字波形法来产生时序脉冲波形。由于NI PCI-6229数据采集卡数字I/O的同步时钟采用板卡自带的硬件时钟定时,所以不受计算机操作系统多任务运行时的影响,稳定性好。PCI-6229 共有48路DIO通道,因此时序脉冲输出路数扩充方便。在此,采用数字波形法和PCI-6229数据采集卡,实现了多路时序脉冲信号发生器,其延时和脉宽调节精度可以稳定地达到微秒数量级。

4 软件编程

4.1 程序框图[6]

图4为两路脉冲信号发生器的程序框图,多路脉冲发生器只需增加相应的输入端即可。

先用Pulse Pattern.vi子模板产生一个模拟脉冲波形,其中延时、脉宽、周期(即样本数)用控件调节,再用Analog to Digital Waveform.vi子模板将模拟脉冲波形转换成数字波形,同时设定正负逻辑转换开关。再把各单路数字波形用bundle函数进行捆绑,再通过DAQmx Write.vi子模板从选定的数字I/O通道写出,故在各个数字输出通道产生脉冲波形。然而时序脉冲信号的周期性通过For Loop循环实现,一次循环产生一个脉冲波形,即实现一次控制过程,如果需要进行多次控制,只要设定循环次数即可。

数字信号输出过程中的关键是数字通道的样本输出速率。样本输出速率通过一个样本时钟控制,在本发生器中由计数器/定时器通过编程输出设定频率的连续矩形脉冲,再从数据采集卡的PFI12接口输入,作为控制各路数字波形输出的同步时钟,控制各数字通道同步输出波形。其中,同步时钟脉冲的周期就是时序脉冲延时和脉宽调节精度。实际测量表明,在该数据采集卡中最小可达到0.5 μs的调节精度。

4.2 前面板图

图5所示为四路时序脉冲发生器的前面板图。其中,时钟频率为延时和脉宽调节精度,也就是数字通道的样本输出速率,如频率为1 kHz,即为1 ms,在该发生器中最大可以稳定达到2 MHz,即最小延时可达0.5 μs。其中,各通道的周期在本发生器中相同,设定为统一调整(也可以设定为不同的周期)。每个通道的延时时间,脉冲宽度可调,并设有正负逻辑开关,可以输出正脉冲或负脉冲波形。信号周期数为过程控制的次数。同时设有产生波形的图形显示(图示为一个周期的波形),所见即所得,非常直观。

5 脉冲信号的硬件输出

信号发生器产生的脉冲信号通过数据采集卡的相应数字I/O通道输出,可以使用专用连接电缆连接到接线盒,再由接线盒从相应的端口输出到相关控制设备。其中,输出为TTL信号电平,如不能直接驱动设备,则需要根据具体设备情况连接相应的接口电路。

设计开发完成的时序脉冲发生器产生的时序脉冲信号经示波器实际测试,信号的延时最小值可以稳定地达到0.5 μs,而脉冲信号的上升沿可以达到50 ns。完全能满足大多数控制的要求。

6 结 语

基于LabVIEW软件和数据采集卡可以方便地实现虚拟的多路时序脉冲信号发生器,具有一定的通用性,可以广泛地应用到各种自动测量和过程控制中,与传统基于硬件设计的脉冲信号发生器相比,具有时序脉冲延时和脉宽调节精度高,脉冲上升沿时间短,路数较多,界面友好,调节方便等优点。选用不同功能的数据采集卡,还可以实现更复杂的控制场合。另外,利用数据采集卡的模拟I/O,还可以产生同步的模拟控制信号,控制不同的设备。因此,基于LabVIEW的时序信号发生器不失为一种实现自动控制的好方法。

参考文献

[1]秦明.应用TMS20F240芯片设计高精度可控信号发生器[J].国外电子测量技术,2002(3):1-3.

[2][美]Robert H Bishop.LabVIEW 7实用教程[M].乔瑞萍,译.北京:电子工业出版社,2005.

[3]侯国屏.LabVIEW 7.1编程与虚拟仪器设计[M].北京:清华大学出版社,2005.

[4]National Instruments:DAQ M Series User Manual[Z].2004.

[5]National Instruments:M Series Whitepaper[Z].2004.

[6]National Instruments:Data Acquisition Basics Manual[Z].1996.

[7]陈志斌,卓家靖.基于单片机CPLD的嵌入式脉冲发生器设计[J].微计算机信息,2005,21(2):107-108.

[8]Job C,Pearson R M,Brown M F.A Personal Computer-based Nuclear Magnetic Resonance Spectrometer[J].Rev.Sci.Instrum.,1994,65:33-54.

[9]Toyoda T,Yoshida H,Oishi O,et al.Personal ComputerControlled 16 Channel Versatile Pulse Generator for NuclearMagnetic Resonance[J].Rev.Sci.Instrum.,1997,68:31-40.

上一篇:企业发展循环经济分析下一篇:城市治理