QAM调制

2024-08-23

QAM调制(共7篇)

QAM调制 篇1

摘要:正交幅度调制技术(QAM)是一种功率和带宽相对高效的信道调制技术,因此在信道调制技术中得到了广泛应用。本文介绍了QAM调制解调的基本原理,以MATLAB为开发平台,对其进行仿真,在信噪比变化条件下,得到不同进制QAM系统的误码率,仿真结果表明QAM调制相对PSK调制具有较好的性能。

关键词:正交幅度调制,MATLAB,误码率

0 引言

随着现代化步伐的加快,社会信息化的普及,移动用户数量不断的增加,传统的通信系统已经不能够满足现代通信的要求。在可用频带资源非常有限的情况下,不能够靠无限增加频道数目来解决系统的容量问题,因此,寻找频谱利用率高的数字调制方式已成为数字通信系统设计、研究的主要目标之一[1,2]。QAM(Quadrature Amplitude Modulation)是一种频谱利用率较高的调制方式,它用两路独立的基带数字信号对两个相互正交的同频载波进行抑制载波的双边带调制,并利用这种已调信号在同一带宽内频谱正交的性质来实现两路并行的数字信息传输。

1 QAM调制与解调的基本原理

QAM是多进制振幅、相位联合调制的一种形式。当进制数M>4时,MQAM信号的最小欧式距离大于MPSK、MASK等其他形式多进制已调信号。距离大的信号易于识别,所以M>4时MQAM信号的抗噪声能力优于MPSK,常被用于在频带受限的信道中传输信息。

MQAM信号的时域表达式如式(1)。

式(1)中,I(t)、Q(t)为双极性多进制信号。当M=16时,I(t)、Q(t)为双极性四电平信号。16QAM信号的产生有两种基本方法:一种是正交调幅法,它是用两路正交的四电平振幅键控信号叠加而成;另一种是复合相移法,它是用两路独立的四相移相键控信号叠加而成。通常采用正交振幅调制方法产生MQAM信号。用正交振幅调制方法产生16QAM信号的调制器和解调器原理方框图[3]如图一所示。

由图一可见,串/并变换器将四个二进制代码abcd中的ac转换为上支路信号,将bd转换为下支路信号,这两路信号的码速率都为Rb/2,Rb为二进制代码abcd的码速率,两路信号仍为二电平信号。2-4电平转换器将二电平信号转换为四电平信号。I(t)和Q(t)都是四进制格雷码,它们的码速率都为Rb/4。两个乘法器的输出信号为I(t)cosωct和Q(t)sinωct,它们都是四进制双极性振幅调制信号,其载波是正交的,这两路信号的带宽都等于I(t)及Q(t)信号码速率的2倍,即Rb/2,故16QAM信号的带宽都等于Rb/2。由此可见,MQAM信号的带宽如式(2)。

MQAM信号的功率谱密度曲线与2PSK、4PSK类似,只不过载频两边的第一个零点频率分别为fc-Rb/lb M和fc+Rb/lbm,fc为载波频率。当二进制信码中“1”和“0”等概率时,MQAM中无离散谱。

应当特别说明的是,虽然MPSK信号与MQAM信号都可以用式(1)表示,但它们的I(t)和Q(t)是不相同的。比如16QAM信号中的I(t)、Q(t)都是双极性四电平信号,且相邻电平的距离相等;而16PSK信号中的都是双极性八电平信号,且相邻电平距离不相等。

2 QAM信号的调制解调性能仿真与分析

在用计算机进行模拟时,使用MATLAB软件作为工具,并使用一些典型的参数,目的是为了在实现调制解调的同时而不使系统过分复杂。通过编写.m文件实现16PSK、16QAM、64QAM信号的调制与解调,信源是由MATLAB的随机函数产生的0、1序列,信道为加性高斯白噪声(AWGN)信道。

不同调制方式在不同信噪比情况下的误码性能如图二所示。可以看出,在进制数都为16的情况下,即信息传输速率相同的情况下,相同信噪比下QAM信号的误码率要小于PSK信号,即QAM的抗噪声性能要优于PSK。在QAM调制方式下,相同信噪比情况下进制数越高,误码率越大,即性能越差,但是信息传输效率越高。

图三到图五为QAM及PSK信号的星座图。在进制数相同的条件下,比较PSK和QAM两种调制方式的功率利用率,及收信功率相同时,不同星座图的误码率。星座图的误码率由星座点间的最小距离决定,由图四和图五可以看出在进制数相同的条件下(都等于16),QAM信号的星座点的最小距离要大于PSK信号,即QAM信号的功率利用率高。由图三和图四可以看出,进制数越高,星座点间的最小距离越小,信号越不容易识别,所以相同信噪比下误码率越高。

3 结束语

从前面的基本原理与仿真分析可以看出,QAM正是一种频谱利用率较高的调制方式,在调制的进制数较高时,信号星座图的分布比较合理,故QAM是一种很有前途的调制方式。其在中、大容量数字微波通信系统、有线电视网络数据传输、卫星通信系统等领域得到了广泛应用[4]。目前我国的PDH4次群一般选用16QAM,SDHSTM-1传输常用64QAM或128QAM方式,SDHSTM-4的传输选用512QAM方式。将QAM调制与OFDM相结合,具有更高的频谱利用率和良好的抗多径干扰的能力,因此QAM调制方式广泛用于各种通信方式中。

参考文献

[1]于风云,张平.QAM调制与解调的全数字实现[J].现代电子技术,2005,(3):53-55.

[2]陶为戈,张娟,朱日失华.基于FPGA的QAM调制器的设计[J].江苏技术师范学院学报,2007,13(2):35-39.

[3]李白萍.现代通信理论[M].西安:西安电子科技大学出版社,2006:143-144.

[4]张辉,曹丽娜.现代通信原理与技术[M].西安:西安电子科技大学出版社,2008:267-270.

QAM调制 篇2

1 QAM调制系统的总体设计方案

QAM调制系统的实现方法有很多, 有四相叠加法、正交调制法等等。文中的设计方案是由正交调制法变换演变而来的。

采用晶振作为基准时钟信号, 经过分频后, 作为伪随机序列信号源的时钟。伪随机序列经过一次数据分离后, 产生I路和Q路两路信号, 再分别对两路基带信号进行数据分离, 使之变成四路同时序的基带信号。根据数据表的编码和信号点映射关系, 利用数据选择器选通两路输出, 该输出与数控器及查找表相连, 因此数控器及查找表的输出已经实现了I路和Q路的调制。再将两路调制信号叠加, 得到QAM调制信号。然后, 再经过一个D/A转换器, 把数字调制信号变成模拟信号进行输出。图1是16QAM调制系统的总体设计框图 (见图1) 。

2 模块整体设计

16QAM调制器主要由以下几部分组成:时钟、信号源、数据分离器、数据选择器、数控器及查找表、叠加器、D/A转换器等, 我们采用自顶向下的设计方法, 其顶层设计图如图2所示。其中, CNT_CLK为时钟输入, 74393实现32分频, 提供系统时钟, M_GENERAToR为m序列发生器产生信号源, DIV_CLK_2为2分频器, fenli为数据分离器, lock、d为锁存器, 数控器及查找表叠加器等都在compose模块中实现 (见图2) 。

2.1 时钟模块

时钟是数字电路中必不可少的部分。设计中采用20M的时钟作为基本时钟信号通过计数器74393进32分频后送给伪随机序列发生器, 因此基带信号的数据速率为64Kbps。同时, 2M时钟通过图中DIV_CLK_2模块实现2分频后, 提供对基带信号进行抽样的采样时钟即提供compose模块的时钟;64K的时钟通过图中的DIV_CLK_2模块2分频后, 为数据分离器fenli提供时钟。本设计中的74393模块调用QuartusII中提供的74393模块。

2.2 信号源模块

信号源由伪随机序列产生, 采用最长线性反馈m序列作为信号源。其中短码采用4阶m序列, 生成多项式为x4+x+1;长码采用23阶m序列, 生成多项式为x23+x5+1, 用AHDL语言设计。

2.3 数据分离器模块

当电路输入m序列后, 根据l6-QAM调制原理, 数据被分离成正交的两路:I路和Q路。因此数据的周期增加一倍, 两路的数据都进行了2分频。数据分离器主要是由D触发器构成, 具体线路设计如图3所示。系统时钟信号CLK经过一个2分频器, 用这个信号把数据的奇数位取出, 同时经过一个D触发器延时周期后把数据中的偶数位取出。由于奇数位和偶数位为近似归零码, 然而需要的是非归零码, 因此使数据经过D触发器延时后与原数据相或得到非归零码的两路数据 (见图3) 。

由于数据分离后I路和Q路的时序是不同的, 所以需要在I路和Q路的数据输出端各加一个数据锁存器使两路输出数据同步。数据锁存器是由两个D触发器在系统时钟的2分频时钟的触发下工作的。后面所采用的两个数据分离器与I路和Q路的数据分离器是相同的, 不同的是锁存器是在系统时钟的4分频时钟的触发下工作的。

2.4 数据选择器

根据16QAM调制原理, 数据选择器用来实现输入数据和输出波形的对应关系, 其对应关系如表1所示。因此, 数据选择器有4个控制端, 输出为16个相对应的波形之一, 用AHDL语言进行设计。

2.5 数控器及查找表模块

设计中, 每个数据符号周期有4个载波, 每个载波采样16个点, 采样速率为1MHz。数控器及查找表包括一个l6进制的计数器和8个正弦或余弦表, 用AHDL语言设计。当然, 在具体实现时, 必须事先计算出与8个正弦或余弦相对应的16个采样点的值, 并根据所选用的D/A转换器中的编码规则, 将采样点的值进行二进制双极性编码。我们选用D/A转换器为TEL7524, 输出为8bit。

在此模块中, cnt_4是一个16进制计数器, 4xuan1为一个四选一开关, 用于选择后面的正余弦表, cos、cos1、cos2cos3、sin、sin1、sin2、sin3是上面所介绍的8个正弦余弦模块。I和Q两路信号经过16进制计数器后通过四选一开关, 进入正余弦表, 基本完成了I支路和Q支路的调制工作, 输出8路调制信号。

2.6 叠加模块

16QAM调制信号是由I路和Q路信号相加合成的, 因为每一路输出为8bit (由D/A转换器的输入位数决定) , 所以当两路信号相加时, 可能会产生溢出的情况, 又由于两路信号都是带符号的数, 因此又要考虑符号位。例如:-1.148+ (-2.772) =-3.92, 其中-1.148的二进制编码为01100011, -2.772的二进制编码为00111001, -3.92的二进制编码为00011100。而01100011+00111001=10011100。

2.7 D/A转换器

D/A转换器本文选用8位TLC7524, 因为基带信号的传输速率为64Kbps, 载波为256KHz, 用TLC7524作为本设计的D/A转换器能够满足本设计的性能要求。TLC7524是8位乘法型DAC, 具有输入锁存以及随即存储存取器写周期相似的装载周期。分段高阶位可使最高有效位变化期间内的闪变为最小, 该变化会产生最高闪变脉冲。该器件可提供1/2LSB的精度而无需薄膜电阻或激光微调, 采用5V~15V单电源工作, 其功耗典型值小于5mW[5]。

3 QAM调制系统的仿真分析

根据图2的顶层设计图, 分别对各模块进行AHDL语言编程、编译、综合, 取时钟周期50ns, 最后得到如图4所示的16QAM调制仿真波形图 (见图4) 。

从仿真波形图中可以看出, 在时钟信号 (周期为50ns) CNT_CLK的作用下, 通过计数器74393进32分频, 图中mout1为32分频后的时钟信号, 然后送给伪随机序列发生器, 产生1个m序列 (mout) (01100110010110) , 途中mout是m序列信号, m序列作为基带信号经过16QAM调制, 从输出端Q[7..0]输出16QAM调制后的数字信号, 可达到0.5Mbps的信息速率。值得注意的是仿真时信号m必须从第32个时钟开始取低电平, 这是由于程序中使用了74393进行了32分频。在仿真过程中会有一定的时钟周期延时, 但不影响最终的结果。经过计算分析, 仿真结果与实际理论计算结果一致。

4 结语

文中设计的16QAM系统采用对正交调制法进行相应的变换而来的调制方法, 它是用两路正交的四电平振幅键控信号叠加而形成16QAM的调制信号。应用AHDL硬件设计语言在QuartusⅡ6.0软件中进行设计、综合、代码编写与仿真, 并得到仿真结果。通过对仿真的分析, 和相应理论的计算结果相比较, 验证本设计是正确的, 通过试验总结得到本设计适合的时钟频率为20MHZ, 得到较为满意的波形。本文运用AHDL语言仿真数字16QAM调制, 同时在FPGA芯片上实现, 具有可编程性、实现方案容易修改、便于调试、集成度高、可靠性好和易于开发等许多优点。

摘要:提出了基于FPGA的QAM调制系统的设计方案。设计了调制系统的各个子模块并进行了分析, 利用QuartusⅡ软件进行了仿真实现并与理论值进行了比较, 验证了系统的可行性。

关键词:QAM调制,FPGA,QuartusⅡ

参考文献

[1]于风云, 张平.QAM调制与解调的全数字实现[J].现代电子技术, 2005 (3) :53~55.

[2]樊昌信, 詹道雍, 徐炳祥, 等.通信原理 (第6版) [M].北京:国防工业出版社, 2005.

[3]John R.Treichler, Michael G Larimore and Jeff rey C.Harp, Practical Blind Demodulators for High-Order QAM Signals[C].IEEE Proceedings on Signal Processing, 2004, 86 (10) :1907~1926.

[4]陶为戈, 张娟, 朱昳华.基于FPGA的QAM调制器的设计[J].江苏技术师范学院学报, 2007, 13 (2) :35~39.

基于QAM调制的高速遥传系统 篇3

1 高速遥传系统

高速遥传系统的主要目的是将井上控制设备的命令传输给井下仪器控制器,再由井下仪器控制器根据控制信息控制井下设备;将井下仪器测得的数据透明的传送给井上控制器,通过Versamodel Eurocard总线送给地面仪。根据测井电缆的传输特性,本系统电缆连接方式采用T5模式,井下电源采用幻相供电,采用的调制解调技术为QAM,根据上行和下行数据量的非对称性,我们选用不对称的数字用户环路(ADSL)技术,由于向下传输的命令是比较少的,而大量的数据是从井下传送到井上,因而与普通不对称的数字用户环路(ADSL)技术是反向的。系统框图如图1所示。

2 QAM调制解调算法

在实际应用中,调制方式的选择是实现高效率通信的关键。虽然正交频分复用(OFDM)是一种高效调制技术,但是它的灵活性和高性能是靠设备复杂度换取的,正交幅度调制(QAM)是一种频谱利用率很高的调制方式,能充分利用带宽,设备简单,成本低,本次设计采用16QAM调制方法,即16进制调制系统,输入数据按四个比特一组(24=16)起作用,数据在经过成型滤波器,会产生不同延时的数据,模拟在电缆上的群延时效果。

星座点映射采用的是双极性星座,例如被调制数据为1010,经过星座点映射后得到{-0x1000,-0x3000},再分成两路I=-0x3000,Q=-0x1000。正弦表的大小是一个周期512个点,每次调制只需取512个点中的36个点进行拟合,得到调制好的数据X(t)=I*sin(phase)+Q*cos(phase)。解调时将X(t)分别乘以sin(phase)和cos(phase),再经过低通滤波器得到0.5*I和0.5*Q。此时的I与Q的值不再是标准的星座点的值,必须通过判决来确定此时的I与Q是属于哪个星座点,判决完毕后反星座映射得到解调后的I和Q,最后将I+Q得到解调后的数据。

3 高速遥传系统的仿真

对高速遥传系统用Matlab对16进制的QAM调制解调过程进行Simulink仿真。仿真模型如图2所示。在仿真过程中绘制源信号和调制解调后的信号对比图如图3所示,星座映射和经过信道后的散射图如图4所示,原信号和经过信道后的信号眼图如图5所示。

整个系统流程如下:

1)信号源采用的是随机整数Pd=500;D=32;x=randint(Pd,1,D);采样时间t=0.1:0.1:50;可以观察信号源plot(t,x','-r');title('源信号');

2)进行QAM调制,M=16;y=qammod(x,M);观察经过调制后的星座映射图Figure;modmap('qam',M);和眼图h1=eyediagram(rcv1,N,1/Fd,offset1);

3)在传输信道中加一些白噪声ynoisy=awgn(y,15,'measured');再观察通过信道后的散射图scatterplot(ynoisy);眼图h2=eyediagram(rcv1,N,1/Fd,offset2,'r-');设置信道的信噪比为snr=[0:13];观察随信噪比的变化系统误码率曲线。

ser=berawgn(snr,'qam',M).*log2(M);figure;semilogy(snr,ser,'r');title('误码率曲线');

xlabel('E_s/N_0(dB)');ylabel('Bit Error Rate');

4)最后把信号进行解调z=qamdemod(ynoisy,M);观察经过调制解调后的信号plot(t,z');title('经调制解调后的信号'),此次仿真错误码数为11,误码率为0.0220。每次仿真的随机数不同得到的误码数和误码率也不同。

4 系统调试与结论

在系统完成后对其进行了调试,首先对C8051F330单片机初始化,对TMS320C6713BDSK的EMIF接口的寄存器,PLL的寄存器,EDMA的控制寄存器进行初始化。初始化完成后由单片机产生14*8bit的数据分别是11~1E,然后对这些数据进行CRC校验得到2*8bit的校验码,图6所示为这16个字节的原始数据波形。

再由单片机将这些数据写到下行FIFO中,写完后CPLD会发出一个脉冲,触发TMS320C6713BDSK中设定的EDMA事件。TMS320C6713BDSK通过EDMA通道读取FIFO中的数据,再对这些数据进行QAM调制,图7显示的是经过调制后经8位D/A输出的波形。最后输出的数据量是32*32*8bit.

在调试时将D/A的输出信号直接作为A/D的输入信号,TMS320C6713BDSK读到经过8位A/D转换得到的数据,再进行QAM解调,图8所示的为解调后的数据。解调完毕后将这些数据写到上行FIFO中,单片机成功读取这16个字节的数据,然后单片机对前14个字节进行CRC校验,如果结果和后两个字节数据相等,则表示这次数据传输正确,没有出现误码。

在实验室测试时由于数据量比较小,没有经过电缆测试,所以受到的干扰比较小,没有出现误码,数据传输率达到80kbps。

5 结束语

本文设计的高速遥传系统相对于以往的低速数据传输方法,有效地解决了大数据量信息传输的问题。通过实验室环境系统测试,数据传输正确,没有出现误码,验证了本系统的可靠性与可行性。

摘要:针对成像测井技术需要有大量的井下信息上传,以往的低速数据传输方法已经无法满足其需要,因此为了解决大数据量信息传输的问题,该文设计了一种基于TMS320C6713BDSK和QAM调制解调算法的高速遥传系统,此系统主要完成成像测井系统的地面仪器与井下仪器之间大量数据的高速、实时、准确的传输。调试结果表明,系统能较好的完成数据的上传和命令的下传,传输速率能达到80kbps,误码率较低,设计的QAM调制解调算法符合要求,能有效提高数据传输效率。

QAM调制 篇4

关键词:IT++,QAM,调制

1引言(Introduction)

提高频谱利用率一直是现在通信中人们关注的焦点之一,寻找频谱利用率较高的数字调制方式随着通信业务需求的迅速增长已经成为数字通信系统研究和设计的主要目标之一。正交振幅调制(Quadrature Amplitude Modulation,QAM)是一种振幅和相位联合键控[1],它是一种频谱利用率很高的调制方式,其在有线电视网络高速数据传输、大中容量数字微波通信系统、卫星通信等各个领域均得到了广泛的应用[2]。

随着通信技术的迅猛发展,无线通信系统的功能越来越强、性能越来越高。另一方面,通信系统技术研究和产品开发的周期越来越短。强大的计算机辅助分析设计技术和仿真工具的出现为解决以上两个问题提供了有力的支撑。目前,进行通信仿真的软件主要采用Simulink/MATLAB和C/C++,二者各有优点。MATLAB因其在处理向量以及矩阵方面功能强大,人机界面友好,编程效率高,拥有强大而智能化的画图功能,进行较小规模的仿真十分方便。C/C++因其通用性强,接近底层,运行效率很好,被广泛应用于较大规模平台的仿真。

IT++ 库是由瑞典查尔姆斯理工大 学 (Chalmers University of Technology)前信息理论系创建的,主要用于模拟通信系统并进行通信相关领域的研究。由于在编写类库时使用的是C++语言,故命名为IT++。IT++作为C++的一个库,拥有许多类似MATLAB的向量、矩阵、数学、信号处理和通信的类和函数,结合了两者的优点,能够快速、方便地进行通信系统的仿真[3]。

本文将基于IT++实现QAM的调制与解调。

2基于IT++的QAM调制解调算法实现 (An implementation of QAM modulation/demodulation algorithm based on IT++)

2.1 QAM类介绍

在IT++中,QAM类所包含的各成员函数将实现比特流或符号到QAM星座点的映射及解映射过程。该类itpp::QAM与通用调制类的继承关系如图1所示。

QAM类用来实现方形M阶QAM调制器,使用此类需添加头文件:

#include<itpp/comm/modulator.h>[4]

QAM星座的大小是,这里k=1,2,...。每维符号值为。比特到符号的映射表采用格雷编码,且符号是归一化处理的,即平均能量是1,归一化因子为。

2.2实现过程

程序实现模块框图如图2和图3所示。其中,比特调制模块主要用于计算误码率,符号调制模块用于绘制星座图。

上述模块在程序中的实现代码见表1到表4。

3仿真结果(Simulation results)

基于IT++平台,以16QAM和64QAM为例,分别仿真了SNR=20d B和SNR=30d B时系统的误码率性能,并绘制了星座图,如图4到图6所示。在相同SNR下,高阶调制的误码率性能差于低阶调制。对相同阶数QAM调制,SNR越大,星座图越清晰,系统性能越好。



4结论(Conclusion)

QAM调制 篇5

1 OFDM原理与16QAM、QPSK调制

1.1 OFDM技术原理

传统多载波调制技术是OFDM技术的前提和基础,OFDM是将高速串行的数据流转换成低速并行的子数据流,然后调制到相互正交的子信道上。

一个OFDM符号包含多个经QPSK调制或16QAM调制的子载波。如果一个OFDM系统有N个正交子载波,其基带子载波可以表示为:φk(t)=ejt2πfk,fk为第k个子载波的频率

调制N个并行数据后的OFDM符号可表示为

式中,xk为第k个子载波上调制的复信号,T为系统采样间隔,在无过采样的情况下T=1/B,B为系统带宽,NT为OFDM符号长度。子载波频率fk是子载波间隔()的整数倍,有T

使得子载波φk(t)在0

由于OFDM将高速串行数据流转换成并行数据流,增大了符号周期,从而对无线信道上的时间弥散有更强的抵抗作用。另外,OFDM通过插入循环前缀CP来克服频率选择性衰落导致的码间干扰ISI。

1.2 16QAM

QAM就是正交幅度调制,16QAM有16种调制状态,因而一种状态可以表示4bit信息。其仿真过程就是将数据流按每4bit一组,将其转换成10进制数(16种取值:从0000到1111),再将每个取值映射到复平面上的一个对应状态,如图1。

调制代码如下:

2.3 QPSK

QPSK即四相频移键控,有四种调制状态,因而每一状态只能表示2bit信息位。与16QAM相似,它的调制是将数据流按2bit分组,转换成十进制数(4种状态00、01、10、11),再映射到复平面上,如图2。

调制代码如下:

1.4 系统模型及仿真流程

OFDM系统原理框图如下所示:

其中,编码是为了提高信道的可靠性而对信号进行纠错编码,但它只能解决单个或比较短的差错,如果比特差错成串发生,则需要使用交织技术将这些误差分散。数字调制是将交织后的信号进行16QAM或QPSK调制。导频用于信道估计,通过导频畸变获得系统性能。IFFT和FFT是OFDM系统的核心部分,因为这是通过它们实现正交子载波的调制解调,插入循环前缀作为保护间隔时,只要保护间隔的长度大于最大时延扩展,就可以有效地消除码间串扰。接收信号后,系统处理流程与发送端相对应。

根据OFDM的系统原理框图,可以设计出其仿真流程如下:

2 仿真结果分析

仿真中,数字调制部分分别采用16QAM和QPSK,得出图5和图6。

从图中可以看出,在信噪比为0db的时候,两者的误码率几乎一样,但是随着信噪比的增加,采用QPSK的系统误码率下降速率比另一个快得多,图3在SNR为10的时候误码率为10-1.5,而图4在相同SNR值时误码率仅为10-3,且图4中没有信噪比SNR大于11时的误码率,通过matlab矩阵看到,后面的误码个数均为0。

3 结论

通过比较可以得出结论:在一定的参数和信噪比条件下,OFDM系统采用QPSK比采用16QAM时误码率要低,有更好的传输性能。但是由于一个16QAM状态能表示4位数据,而一个QPSK状态只能表示2位数据,因此,16QAM的调制效率是QPSK的两倍。在实际的系统中,可以根据实际情况,如系统的信噪比、可靠性要求和传输速率要求等综合考虑,选择最佳调制方式。

参考文献

[1]别志松,别红霞.信息与通信系统仿真[M].北京:北京邮电大学出版社,2010:184-193.

[2]Ping Chen,Linyun Li.Analysis and Resoluttion of Key Issues in OFDM System Simulation[C].Open-Source Software for ScientificComputation(OSSC),2011 International Workshop on.IEEE,2011:98-101.

[3]Ping Chen,Pei Wang,Jiangfeng Sun.Design and Implement of the OFDM Communication System[C].Open-Source Software for Scien tific Computation(OSSC),2011 International Workshop on.IEEE,2011:59-63.

QAM调制 篇6

多电平正交幅度调制MQAM (Multilevel Quadrature Amplitude Modulation)是一种振幅和相位相结合的高阶调制方式,具有较高的频带利用率和较好的功率利用率。因为单独使用振幅和相位携带信息时,不能最充分利用信号平面,这可由调制信号星座图中信号矢量端点的分布直观观察到。多进制振幅键控(MASK) 调制时,矢量端点在一条轴上分布; 多进制相位键控(MPSK)调制时,矢量点在一个圆上分布。随着进制数M的增大,这些矢量端点之间的最小距离也随之减少。而MQAM采用振幅和相位联合键控调制,他能充分利用整个信号平面,将矢量端点重新合理地分布,在不减小最小距离的情况下,增加信号的端点数;在相同信号端点数的情况下,矢量端点之间的最小距离增加。因此,MQAM是一种高效的调制方式,被广泛应用于中、大容量数字微波通信系统、有线电视网络高数据传输、卫星通信等领域。本文首先介绍了MQAM调制解调的基本原理,然后以64QAM为例,介绍了一种全数字实现的调制系统结构方案,并给出了解调器的具体FPGA实现方法及关键技术。

2 MQAM调制原理

所谓正交振幅调制,就是用两个独立的基带波形对两个相互正交的同频载波进行抑制载波的双边带调制,利用这种已调信号在同一带宽内频谱的正交性来实现两路并行的数字信息传输。MQAM信号的一般表达式为:

y(t)=Amcosω0t+Bmsinω0t,0<t<Τ(1)

式(1)由两个相互正交的载波构成,每个载波被一组离散的振幅{Am},{Bm}所调制,故称这种调制方式为正交振幅调制。式中T为码元宽度,m=1,2,…,L,LAmBm的电平数。MQAM中AmBm振幅可以表示成:

{Am=dmABm=emA(2)

式中:A是固定的振幅,dm,em由输入数据确定,dm,em决定了已调MQAM信号在信号空间中的坐标点。在调制过程中,载波的振幅与相位都发生了变化,因此,已调信号矢量星座图中每一个坐标点代表了一种编码组合,同时也代表了正交信号矢量合成后的不同的相位及电平,第i个信号可用数学描述为:

Si=Aicos(ω0t+φi)i=1,2,,Μ(3)

因此每一个坐标点也由Aiφi惟一确定。

3 64QAM调制器系统设计

图1给出了全数字实现的64QAM调制器的电路原理结构。除D/A变换外,每个功能模块都用FPGA实现。扰码、串并转换和差分编码采用原理图的方法进行设计,电平转换及星座图映射采用查表法(LUT)进行设计。本设计的难点为成形滤波器和基于DDS的正交调制器实现,下面重点描述成形滤波器和基于DDS的正交调制器的实现方法。

3.1 成形滤波器的设计

为了让信号在带限的信道中传输,提高频谱利用率,通常在发送端把信号经过成形滤波器进行带限,由此就会引入码间干扰。为有效地减少码间干扰,按照最佳接收理论,收发基带滤波器应共轭匹配,设计时收发基带滤波器采用均方根升余弦滚降滤波器即能满足要求。

在实际电路设计中采用具有线性相位的FIR滤波器来实现均方根升余弦滚降特性的成形滤波器。一个N阶FIR滤波器的差分方程表达式为:

线性相位的FIR滤波器的系数是偶对称或奇对称的,利用系数的对称性可减少乘法器的数量,本系统采用N为偶数且系数偶对称的线性相位的FIR滤波器。滤波器系数是一个固定的值,根据均方根升余弦的冲击响应特性,利用Matlab软件可直接生成FIR数字滤波器系数hk(k=0,1,…,N-1)。所以滤波器的乘法都是固定系数的乘法。

本设计采用分布式算法(DA)原理,利用FPGA查找表代替乘法器来实现FIR滤波器,其基本思想如下:

假设输入信号数据位为B位,则滤波器在n时刻的第k个输入为:

xk(n)=b=0B-1xkb2b

其中,xkb∈[0,1],若滤波器的阶数为N,抽头系数为hk(k=0,1,…,N-1),则输出信号为:

y(n)=k=0Ν-1hkxk(n)=k=0Ν-1hkb=0B-1xkb2b=b=0B-1[k=0Ν-1hkxkb]2b=b=0B-1sumb2b(5)

从式(5)可以看出,FIR滤波器中乘加单元的运算是算法核心。如果建立一个查找表(Look Up Table,LUT),表中数据由所有固定系数(h0,h1,…,hN-1)的所有加的组合构成(和用sumb表示,b∈[0,B- 1]),那么,用N位输入数据构成的N位地址去寻址LUT,如果N位都为1,则LUT的输出是N位系数的和,如果N位中有0,则其对应的系数将从和中去掉。这样乘加运算就变成了查表操作。整数乘以2b可以通过左移b位实现。

对于本系统,码元速率为25.92 Mbaud,滚降系数选取为0.5,抽头个数取N=16,抽头系数精度取10 b,输入数据为4 b,输出精度取9 b。仿真结果如图2所示。

从图2可以看出:码元速率为25.92 Mbaud的基带信号经成形滤波后,频谱被限制在20 MHz范围内。

3.2 正交调制器的设计

经成形滤波后的两路基带信号分别对DDS(Direct Digital Synthesizer)产生的两路正交的载波进行调制,然后进行矢量相加形成调制信号输出。DDS的FPGA实现框图如图3所示。

DDS的基本原理是利用采样定理,利用查找表法产生波形。相位累加器是DDS系统的核心部分,每来一个时钟脉冲,累加器将频率控制字M与相位寄存器输出的累加相位数据相加,把相加后的结果送至相位寄存器的数据输入端;相位寄存器将累加器在上一个时钟作用后所产生的新相位数据反馈到累加器的输入端,以使累加器在下一个时钟的作用下继续与频率控制数据相加。这样,相位累加器在参考时钟的作用下,进行线性相位累加,当累加器累加满量时就会产生一次溢出,完成一个周期性的动作,这个周期就是DDS合成信号的一个频率周期,累加器的溢出频率就是DDS 输出的信号频率。

用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可以把存储在波形存储器内的波形抽样值经查找表查出,完成相位到幅值转换。ROM设计的关键问题是进行初始化,就是将正弦波的二进制幅度码按一定的格式输入到存储器初始化(.mif)文件,此文件可以C语言或者Matlab语言程序生成。

DDS系统输出信号的频率为f0=fclk×M/2N,频率分辨率为Δf=fclk/2N,当M=2N-1时,DDS最高的基波合成频率为f0max=fclk/2。对于本系统,时钟频率fclk=155.520 MHz,N取12。仿真结果如图4所示。

由于两个正交本振的形成是通过Matlab运算得到的查找表,所以由DDS得到的载频不存在幅度差异,理论上其正交性也完全可以得到保证,但由于存储精度的影响,存在量化误差。

4 系统设计与仿真

根据以上各模块单元的设计,构成64QAM调制器的顶层文件如图5所示。运用QuartusⅡ及Matlab软件实现64QAM调制器仿真,仿真结果如图6所示。

5 结 语

本文介绍了用FPGA实现全数字高阶QAM调制器的思想和方法,采用原理图和Verilog语言,用可编程芯片StratixⅡ系列中的EP2S30F484C3实现了整个设计,结果表明符合设计要求。为进一步的研究和设计全数字高阶QAM系统打下了良好的基础。

参考文献

[1]于风云,张平.QAM调制与解调的全数字实现[J].现代电子技术,2005,28(3):53-55.

[2]Webb W T,Hanzo L.Modern Quadrature Amplitude Modu-lation Principles and Application for Fixed and WirelessCommunications[M].Psscataway,NJ:IEEE Press,1994.

[3]傅海阳.SDH数字微波传输系统[M].北京:人民邮电出版社,1998.

[4]潘松,黄继业.EDA技术实用教程[M].北京:科学出版社,2002.

[5]Vesma Jussi,Saramaki Tapio.Interpolation Filters with Ar-bitrary Frequency Response for All-digital Receivers[J],IEEE,1996:568-571.

[6]王旭东,潘广桢.Matlab及其在FPGA中的应用[M].北京:国防工业出版社,2006.

QAM调制 篇7

数字振幅调制、数字频率调制和数字相位调制是数字调制的基本方式,但是,这三种方式均存在不足之处,频率利用率和抗多径衰落一直是关键问题。QAM调制作为一种高效数字调制方式,能有效得解决上述不足之处,因此在通信系统中有着广泛的应用。本文给出了全数字QAM调制器FPGA设计与实现方法。与传统的模拟实现方法相比,该实现方法具有抗干扰能力强、功率/频谱利用率高和操作数字化等优点。

2 原理

QAM调制的方式有多种,可采用两路正交的个电平键控信号相叠加而成的方法,也可采用多相调制的方法,本文采用前者。图1为MQAM调制的功能图,大致包括串并转换模块、电平转换模块、幅度控制模块和基于查找表的星座图映射模块。

如图1所示,输入2-level信号经过串并转换后变为两路基带信号,各路基带信号通过电平转换生成两个控制信号,分别为由2-level变为-level的幅度键控信号,和2-level正交载波相位控制信号,最后将两路相位和幅度发生改变的信号进行并行相加,便得到MQAM调制信号。MQAM信号有M个星座点,也就是每路信号有各种编码组合,即个电平控制。如16-QAM共有4个电平01、10、11、00。这些结构功能将在下面给出详细的介绍和实现方法。

3 QAM调制的数字实现

本文采用Altera公司推出的DSPBuilder开发工具,在Matlab/Simulink环境下搭建功能模块和RTL级布线设计,最后利用DSPBuilder转化为HLD语言在Quartus II上并基于FPGA开发板进行综合和仿真实现。

3.1 电平转换模块

图2给出的是16-QAM调制的电平转换模块。我们知道,2-level基带信号要转换成-level信号,必须首先对基带信号串并转换成2bits信号。所以,在这里采用2个单周期延时模块和3个3-d下采样模块,得到的是3bits信号,其中的2bits信号作为幅度键控信号,即4种可能的电平00、01、10、11。剩下的1bit信号作为查找表中的载波相位键控信号。与此同时,变换后的2bits幅度控制信号和1bit相位键控信号周期均变为基带信号周期的3倍。同样,对于MQAM调制,串并转换为bits信号,其中bits作为新的电平信号控制载波幅度,剩下的1bit信号作为相位键控信号。

3.2 串并转换模块

从图2中可以看出,输入待编码信号经过串并转换后生成I、Q两路基带信号。串并转换模块的实现方法同电平转换模块相类似,均采用单周期延时与下采样这种结构搭配,生成的I、Q两路基带信号时钟周期变为输入信号的2倍。

3.3 幅度控制模块

图3为幅度控制模块。串并转换模块输出的bits信号作为输入信号,加1之后便有1~种幅度控制信号。64QAM在幅度控制模块有3bits,分别可能为000~111,与预置幅度相乘后便得到相对应的幅度信号。

3.4 星座图映射模块

图4为星座图映射模块,主要功能是对载波的频率和相位控制。通过bits中的其中1bit信号对载波相位选择,为1和为0时分别输出两路相正交的载波信号,将得到的载波信号与幅度控制模块相乘并字节转化,即为I、Q各路的调制信号。

3.5 MQAM

FPGA基本由6部分组成,分别分为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。鉴于FPGA有丰富的资源可以利用,本文将16QAM、64QAM、256QAM融合在一个模块中,两路基带信号经过调制之后线性相加,3路输出分别对应3种QAM调制输出。

4 仿真结果

待编码信号可以在输入信号Matlab/Simulink的source模块中设置,在这里设置为[1 0 1 0 0 1 1 0 1 1 1 0 0 1 0 1 0 01 1 0 1 1 0 1 1]的一个循环序列,经过串并转换模块后,分别生成I、Q两路基带信号,分别为seq_s为[1 1 0 1 1 1 0 00 1 0 1 1]序列循环,seq_c为[1 1 0 1 1 1 0 0 0 1 0 1 1]序列循环。在DSPBuilder设置好仿真时间和输入序列属性后,点击signal compile,成功编译后得到上面的仿真结果图。图6中1、2分别为I、Q两路基带信号,图6中3、4、5分别为16QAM、64QAM、256QAM编码输出,图6中可以看出,输出波形幅度和相位发生了改变,不同星座点的编码调制结果之间幅度也存在差异,与预期的结果相一致。

DSPBuilder在正确编译结束后会弹出窗口进行HDL语言转化和编译,引脚和时序分析。将自动生成的工程文件.qpf文件用QUARTUS II打开并进行波形仿真。仿真结果如图7所示。基带信号周期为工作时钟的2倍,16QAM,64QAM,256QAM编码输出周期分别为基带信号周期的3、4、5倍,与上文Simulink仿真波形结果相一直,虽然有少许失真,但并不影响结果的正确性。QUARTUS II编译成功后将生成的FP-GA下载文件.sof下载到Altera公司DE2开发板,结果与Matlab仿真结果相吻合。

5 结语

本次试验成功利用DSPBuilder完成全数字化多进制QAM调制的设计和仿真,成功地完成Quartus II软件仿真和FPGA硬件实现,灵活性地缩短了整个设计过程的周期。

参考文献

[1]John G.Prokis.数字通信(第四版)[M].北京:电子工业出版社,2003.

[2]江国强.SOPC技术与应用[M].北京:机械工业出版社,2006.

[3]龙海南,张莉莉.基于FPGA的64QAM调制器的实现[J].沈阳理工大学学报.2006.

[4]赵民建,袁梦涛,李式巨,仇佩亮.全数字多星座图、可变符号率QAM调制器[J].电路与系统学报.2001.

[5]刘莉琛.DVB-C全数字QAM接收机定时同步模块设计及verilog实现[D].[学位论文].电子科技大学,2004.

[6]Chih-Peng Fan,Cheng-IHwang,Hhih-Hsin Lin,Chun-NanKe,Ji-Li Yu and Hong-Rui Ding.FPGA implement and design of MQAM cable receiver[J].Workshop on Consumer Electronics,Tainan,Taiwan,Nov.2003.

上一篇:鄙弃和吸收下一篇:柴油机排放